2ch勢いランキング アーカイブ

【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #24 [無断転載禁止]©2ch.net


774ワット発電中さん [] 2016/09/30(金) 12:20:31.82:3rJEgscB
前スレ

ttp://wc2014.2ch.net/test/read.cgi/denki/1461231349/
素人 [] 2016/09/30(金) 12:21:44.08:3rJEgscB
ラズベリーパイと比べてどうですか?
774ワット発電中さん [sage] 2016/09/30(金) 12:41:30.72:Rvva4DV1
)())))())(()(())(()()(((()))))(()(()())(()(((()))))))))()((())())((()(()((((
)()))())((())()((((((()(()))))))()))))))))(()()()((((()()()((()))(()()(((()(
)))((()())((((())))(()))))(()))()(((())))()))))(())())((((((((()))()()((()((
)()())())()()))()()(()()()()))((()))((())((((()))()))())()))((((((()(()))(((
()()())(()))(((()))()))())((()(()((()()())())()()()(()()(()((())))))()((())(
(()(())()()(())()(()()))))()(((()()())(())()(((((()(())(()))))()((()))())())
())()()))()()()((()((((())(())))(((()((()(())())()))())()))))()()))()(()((((
((()(()((((()(()))))()))(((((()((()))))()()))()))())()(()()(((()()())())))()
())(()))()))))()())()()(((()))(()))((()((())()))))((((((()()()()()))()(()(((
())(()())()())())(())))()(()))()))()(()((((())()(())((())))((((()()(()(())()
(((()()()((())(()(()(())((((()))(((())()))))())(()))))))))(())))(()(()())(((
)(()(()(()()())()(()(())()()()()))))()((()()()()))()()(((()))((()(()()))())(
)()(((()(())()()))(())))))())()(((()())(())((())())(())())))()()))()((((((((
()()))))(()(()(((((((()()((((()()()(())(((()))()(()())(()(((()))))))))))))))
)))((()((())((()(())((())))(()()))()(()())()()(()(((())())())))(((())))(()()
()(()()(((((())()))((()()()(())()))))(()())(()))(((()))))(()()()(()))(()())(
)())(()())((()))()())(()))()((())))())()()))()())))()((((()()((())(())((((((
)((())(((()(()((((((())()())()))())())(())())((((())))()())(())))(((()()))))
((()())()((())(()((()()))())))((())()()())(()((())()(((())()())()))()())(())
(()())()(()())(()(())((()(((())((())))()())))))(()()()(()(())))((()()())()()
())))(()))))()(()(()(()()(()))()(())))))()((()())()(())((()))((()(()(()(()((
)((()(((())())((())()()))(((()(())(()())()())(((())())())()))))()()(((())())
(()()((((())(()((()))))()))()(()((())((())))(((((()))))()(()(()))((()())))))
)()()((())(((()((()))((())()()()(()()((()())(((())()))())(()()()))())(()))))
)((()()()()))())()))()()()((())))((())(()(((()())(((()()()())((())()(())()))
(()(()())))(()((()))((())))))())()())))))))(())()((())((((((())()()(((()(()(
)())()(()((())()())((())()()((()))(()()))(((((())((()()(()))()((())(()))))))
)()()))()())()(()(()()()()))(()()(((()))))))(()((()(((()()(()(())())(())(())
))()(()()()()())(()()(()()()(()(((()(())))()))(())()))()()())()())(()())((((
()))())))(((()((()()((())(()))(((()(())))()())()(()()()))()(())))())((()(()(
))(()((()))())())))()(((())(()()()())())()())())()))()((((())((())())()(((((
())(((())(()())))(((((((()(()))()))()()(()))((()()))(())()(()(())()))))(())(
)(()))(((((())((((()((()))(()((()()))(()()())())(())())))()(()())())())(()))
)))()((())(()(((())))))(()())(()((()()()(()((()))(((())()()))()))((())((()))
)(()((())())()))((((((())())((((((()())()(())(()))))))())()()(()())(()))(())
()()((()(((()(())())()()())))))(((((((()()()()))))())((())())))((()(()()))()
)(())(()()((()))(()())(())((((()((((()())))()())()()()))(()(()(())()())))())
())()))())))())())()(()()(((()())(()(()()))(((((()((())((()))()))))(())()(((
()((()()))((())((()()()(()))()(()(()()()))))()())))(()((()()()(()(()((()))))
)))(()())))))()(())()()((()())(())))(()((())))()(((((()())()())(()))(()(((((
))(()())))(()((())()(()))())))()((()()))(((()))()((((()()())(((()()())))()((
))())())(())()((()())(()()()))(()()()())))(()()(((())()(()(((((((()))))()())
()()(()(((()))((()))((((()()))))()(()))()()(())(((()(((())())())()((()))))))
())())))())))))((((()(()))((((((((())()(()))()(())((((()))()()()())())(()())
))((((((()))())(((())(((()())))(())()()()))()())(())(()))())(()()(()())())((
(((())())))()()((())(()()(()))()))))(((())())())((())()(()))()((())(()(((())
)()))((((((((()()))))()()()()()(()()())()))(()))((((()()()()()(()()()))())()
()))()())()()()))(())))()))(()(()((((()))))())((()((()))))))(((((((()((()()(
(())(())))()))))(((()(((((()()()()()(())((()()())))(()())(()()()(()))(()()))
)()()(())))()))(()()(())))))(()()))(()(()())())(()((()))((())((((()(((((()))
774ワット発電中さん [sage] 2016/09/30(金) 12:57:00.80:6vYlgvBA
あら、落ちたんですかね。残念。
774ワット発電中さん [] 2017/01/05(木) 12:48:42.64:dOevzleJ
Software Defined Radio (SDR) and Direct Digital Synthesizer (DDS) for NMR/MRI Instruments at Low-Field
ttps://www.ncbi.nlm.nih.gov/pmc/articles/PMC3892883/
A battery-driven, low-field NMR unit for thermally and hyperpolarized samples
ttps://www.researchgate.net/publication/235630191_A_battery-driven_low-field_NMR_unit_for_thermally_and_hyperpolarized_samples
Design of an MR image processing module on an FPGA chip
ttp://www.sciencedirect.com/science/article/pii/S1090780715000695

FPGA集約型NMR分光計の開発
ttp://kuchem.kyoto-u.ac.jp/bun/projects/OPENCORE/opencore.html
ttp://www.sciencedirect.com/science/article/pii/S1090780708000670
核磁気共鳴用 送・受信器の作成
ttp://www.k2.dion.ne.jp/~yohane/00%20nmr1.htm
NMR分光の応用で低コスト化に成功:ポータブルがん検出器に見る回路設計の指針
ttp://ednjapan.com/edn/articles/1111/29/news115.html
Open source Earth's Field NMR Spectrometer
ttps://github.com/geekysuavo/pyppm
774ワット発電中さん [] 2017/01/11(水) 11:07:44.24:hnBwELVp
Max10だがSOFでは動作する。しかしPOFに書き込みすると動作しない。
しかしVerifyできるので書き込みは完了しているはずだ。

とするとPowerONでRamへのロードを失敗するのだろうと思う。接続している外部回路と
なにか関係があるんだろうか?
774ワット発電中さん [sage] 2017/01/11(水) 17:52:55.27:0QKXrnJo

ラズパイよりも扱いにくいですが、細かい所にも手が届きます
774ワット発電中さん [] 2017/01/11(水) 18:33:48.30:LXvG1GAk
MAX10の評価基板、高いですか?
774ワット発電中さん [] 2017/01/11(水) 22:53:44.33:9Dr/hl8T
最近PCIバスの制御に興味が湧いてきたんだけど
Byte Enableって活用されてるの?
Byte Enableを使って1バイトだけ転送する使い方をする事はあるんだろうか
774ワット発電中さん [sage] 2017/01/11(水) 22:54:08.60:Sp8ZOgEZ
前スレの話。BGAに関して。
普通に人が住めるような温度、振動環境ならBGAでも良いだろうが、工作機械の中で使ったりするような物では駄目。
自動車の走行に関するような所にも駄目じゃないか?
774ワット発電中さん [sage] 2017/01/12(木) 02:01:23.30:TvL2JDzz
FPGAを始めようとしていますが、教えてください。

Xilinxの開発ツールには、
1. ISEデザインスイート
2. Plan Ahead
3. Vivado
の3つがあるようですが、どのように違うのでしょうか?
ISEが古くてVivadoが新しいようですが、Plan Aheadはどの位置にあるのでしょうか。
Plan Aheadは、ダウンロードする場所もわかりません。
774ワット発電中さん [] 2017/01/12(木) 02:51:06.56:DrahEfBk

雑に言うとvivadoの前身がplan ahead
iseは更新止まってるので今更覚える必要はない
774ワット発電中さん [sage] 2017/01/12(木) 06:25:30.28:/zLf87N0

>今更覚える必要はない
VivadoってSpartanの開発できたっけ?
774ワット発電中さん [] 2017/01/12(木) 10:09:16.29:TvL2JDzz

コマンドラインなら、できるらしい。
Spartan7が出たら「できる」ということになる。
今のvivadoでは、出来ないらしいです。
774ワット発電中さん [sage] 2017/01/12(木) 12:56:32.85:f2DKGXyw
Plan Ahead を初めて見たとき、それまでのISEの路線とは全く異なる画面絵作りで
ビックリした。たぶんどこかの会社をXilinxが買ったんじゃないかな?

で、Xilinxは もうPlan Aheadは推していないんでしょうか?
774ワット発電中さん [sage] 2017/01/12(木) 20:20:20.51:1OpQGq3b
前スレの話題だけど
スマホなんかのBGAは小さいから、かかる応力も少ないよ

エンタープライズは筐体に余裕有るから排熱ちゃんとしてるんじゃないかな
電源切らないからヒートサイクルになりにくいのも有りそう

ゲーム機は排熱カツカツでデカイBGAなのが良くないんだろうね
774ワット発電中さん [sage] 2017/01/12(木) 22:19:39.15:evjDv5SL
鉛フリーと膨張後の急激な冷却でクラックが起きるのが原因
温度を上げないようにするかゆっくり冷やすか2択
774ワット発電中さん [sage] 2017/01/12(木) 23:29:49.76:TXDWVP7A
いきなりVivadoだとびっくるするから慣れてもらうために
PlanAheadのGUIの皮をかぶせたらしい
774ワット発電中さん [] 2017/01/13(金) 13:29:15.25:wffl6Ijr
とは言っても、Plan aheadでも充分ビックリするGUIだよ。
ISEのときのあの画面は、どこにあるんだろう、って。

しかも、ISEに比べると、シンセシスの時間が長い。
774ワット発電中さん [] 2017/01/13(金) 15:44:12.54:4wVeZ4bF
PC98のxactに慣れてる俺としてはだな
774ワット発電中さん [] 2017/01/14(土) 01:45:23.71:EO88VyKD
Xactって、PC98で動いたんですか?
774ワット発電中さん [] 2017/01/14(土) 06:04:40.54:UFXYoqW9
アルテラしか使ったことないのだが、XlinxもCPUは無料の開発ツールでつかえるの
か? 以前は10万くらいのツールを買わないとつかえなかったと思うが、、
774ワット発電中さん [sage] 2017/01/14(土) 09:33:37.88:c4vpXxKR
Vivadoは最新ツールだし重い
ISEは軽い
でもやっぱりVivadoはtclも使えるし楽だなぁ
774ワット発電中さん [sage] 2017/01/14(土) 10:05:34.33:1jthlu4I
tclなんかどういう用途で使うの?
774ワット発電中さん [sage] 2017/01/14(土) 10:21:24.01:i4UWdFLW
SDC (SynopsysR Design Constraints)
774ワット発電中さん [sage] 2017/01/14(土) 13:24:35.64:Z1EAlTNc
XilinxだとCPUとかも全部無料なの?乗り換えようかな
774ワット発電中さん [] 2017/01/14(土) 17:30:18.86:liAO7IvR

途中でティックル使って、長〜いパスを入力する根性があればね。
信じられんわ。
774ワット発電中さん [] 2017/01/15(日) 11:45:02.33:4BDBsyar
>27
 どういう意味?
774ワット発電中さん [sage] 2017/01/15(日) 13:10:53.81:A17amTQ1
ウゼェーよ この提灯野郎、って意味
774ワット発電中さん [] 2017/01/15(日) 14:26:53.54:4BDBsyar
>tclなんかどういう用途で使うの?

alteraだとモデルシムでデバッグするときに使う。
774ワット発電中さん [sage] 2017/01/15(日) 14:55:35.29:aA5irWBK
tclは関数も変数もマクロで書けるので面白い。
774ワット発電中さん [sage] 2017/01/15(日) 20:16:56.58:Bftnm7fU
そりゃASICやったこと無い人からしたら、tclなんてそこまで・・・と思うかも知れないけど
Vivadoは制約ファイルがSDC準処な訳で、出来なきゃ話にならんわ
774ワット発電中さん [] 2017/01/24(火) 20:43:20.64:3lFwZ80m
前スレで出てた森岡さんの本持ってたわ
カバーがないけどw
でかい会社に行けば個人本棚で寝てるやつがいっぱいありそうだな
774ワット発電中さん [sage] 2017/01/25(水) 01:56:35.29:iSXRAXmX
教えてください。

XilinxのISE 14.7 をインストールしたのですが、iMPACTが起動できません。
これまではISEの小窓からiMPACTをダブルクリックすれば、外部窓として起動したのですが、
今回は「_impact4.exeの起動に失敗しました」というメッセージが出てしまいます。

\ISE_DS\ISE\bin\nt (32bit用)だと起動するのですが、nt64だとダメです。
何か私が勘違いしているでしょうか。
よろしくお願いします。

Windows7 pro 64bitです。
774ワット発電中さん [sage] 2017/01/29(日) 22:18:04.55:4caarJJv
とうとうx86にまで至ったか。

The ao486 is an x86 compatible Verilog core implementing all features of a 486 SX.
ttps://opencores.org/project,ao486
774ワット発電中さん [sage] 2017/01/30(月) 05:04:01.72:I/iGmHEw
今更過ぎない?
774ワット発電中さん [] 2017/01/30(月) 09:32:50.61:osDpRb2/
最終更新が2014だもんなw
774ワット発電中さん [sage] 2017/01/30(月) 12:34:39.25:+0m2hcts
これ使って1chip townsとか
774ワット発電中さん [sage] 2017/02/02(木) 12:39:55.69:R8gyQ7JN
森岡さんの本を何年か前に購入したけど、
初心者すぎてまだちゃんと読めるレベルに達してないです。
自習だとなかなかきびしいなあ。
774ワット発電中さん [sage] 2017/02/02(木) 21:33:02.58:NhbcfABV

代理店の、初心者向け無料セミナーを受講するのが早道かも
774ワット発電中さん [sage] 2017/02/03(金) 23:15:48.44:Qw0s0DsF
bemicro max10はもう生産しないんかねぇ…
774ワット発電中さん [] 2017/02/04(土) 00:17:41.95:g+5AkTPG

10年後も言ってそう
MAX10のボードなんて高くないんだからさっさと買って物作りすりゃいいのに
安いボード買って積むのが趣味なんだろうけど
774ワット発電中さん [sage] 2017/02/04(土) 02:07:49.47:iRb6oAEW
これBOTじゃないの?
774ワット発電中さん [sage] 2017/02/04(土) 21:11:22.02:h+McW9p/
DE10-Lite 安くなってください。
774ワット発電中さん [] 2017/02/05(日) 03:14:41.17:XlSporyw
Xilinx 9500って、なんであんなに電気食うの?
774ワット発電中さん [sage] 2017/02/05(日) 09:21:03.18:zUHaQyTQ
MAX3000Aもクロック入れても入れなくても殆ど消費変わらなかったな
漏れ電流がほとんどなんだろうね
774ワット発電中さん [sage] 2017/02/05(日) 22:17:03.08:2RC+CZBh
未使用部分は動的に回路から切り離して使用時に再接続とかCPUでやってるようなことを
将来はこっちも実装させられるのかな?
(切り離した部分にFPGAが電源供給切ってくれるようになること前提で)
774ワット発電中さん [sage] 2017/02/05(日) 22:34:22.44:mjNH/Hz0
やってんじゃん
774ワット発電中さん [sage] 2017/02/09(木) 14:30:17.30:HekTuc5q
どんな時に、ターゲットのFPGAを断念しますか?
774ワット発電中さん [sage] 2017/02/09(木) 18:49:03.96:sqgatXQL
高くて買えない時。
774ワット発電中さん [sage] 2017/02/09(木) 21:20:54.40:ATjxvlaf
入手困難なとき
774ワット発電中さん [sage] 2017/02/09(木) 21:32:14.45:4nZCX8jo
ツールがサポートしなくなったとき
774ワット発電中さん [sage] 2017/02/12(日) 12:58:34.83:93bGgP5v
やる気がなくなった時
774ワット発電中さん [sage] 2017/02/13(月) 19:33:41.61:luoNFrlq
新しいデバイスを使う目途が立った時
774ワット発電中さん [] 2017/02/14(火) 14:22:54.69:vcaLc7fZ
やっぱIGLOO2は消える運命かい?
ラティスのXP2とモロ競合してるんだが。
774ワット発電中さん [sage] 2017/02/14(火) 19:14:52.68:dBYpunwO

何がいいの?
市場の原理で決まるんじゃね
774ワット発電中さん [] 2017/02/14(火) 21:19:31.44:XIyCeJT1
Cyclone10の話題後出てなくて絶望した
774ワット発電中さん [sage] 2017/02/14(火) 21:25:08.01:CTlq/7Zv
Cyclone VI は出ないの?
774ワット発電中さん [sage] 2017/02/14(火) 22:25:35.44:hui5csOz
MAX10の方が扱いやすいから値段次第
774ワット発電中さん [] 2017/02/15(水) 06:14:21.99:m4SvdrIz


どっちの見かけ上は同じ。
igloo2はフラッシュで直接スイッチしてるから完全なハード回路と同等に起動
XP2は内蔵フラッシュからSRAMにロードするからシリアルメモリからの起動タイプよりは
早く起動

肝心の能力差は遣ってみなけりゃ判りませんよね。

宇宙線への耐力も違いますよね?
774ワット発電中さん [sage] 2017/02/15(水) 07:21:14.49:PtYLhtp+
やっぱ単電源は楽だよ
774ワット発電中さん [] 2017/02/15(水) 12:35:32.33:ff7yxVCi
なんだよ 6入力のLE 450MHzって

IGLOO2でやっと4入力になったというのに。
774ワット発電中さん [sage] 2017/02/17(金) 01:09:21.63:DgJ16jgR
原発のサソリ型ロボットってやっぱりFPGAなのかな。火星に行ったのもFPGA搭載だったし放射線に強いとかあるのだろうか。
ビットコインみたく計算ネットワークで相互監視させてエラー排除とかやれば高耐久になりそうだけど中の人はどうやって放射線耐久性を作り出したんだろう。
774ワット発電中さん [sage] 2017/02/17(金) 08:31:33.47:oOz4/wqh

集積度高いのは根本的なとこで外部ノイズに弱いから、
現在のノイズ対策技術を施した設計で、昔の集積度低いプロセスで製造して、さらに多数決回路なんかで補完させるとさらに強くなるはず。
で分厚い鉛でカバーしてシールド。
こういうとこだと単機能に特化した低性能のものの方が良いはず。
774ワット発電中さん [sage] 2017/02/17(金) 08:34:15.15:tdGHy9D+
Actel は耐放射線設計のやつがあったな。
774ワット発電中さん [] 2017/02/17(金) 13:02:41.80:hlls6gJd
CPU付のFPGAやってみたいがARMとNIOSならどっちやるべき?
おなじようなものか?
774ワット発電中さん [sage] 2017/02/17(金) 14:26:26.56:zhxEcA58
> CPU付のFPGA
これなら、ARM以外の選択枝は無いと思うが。

ハードマクロのNIOSなんて あったっけ?
774ワット発電中さん [] 2017/02/17(金) 14:27:51.45:JO3UyOaD
今のご時世NIOSなんぞ覚えても何の役にもたたん
あれはSoCなFPGAがなかった時代の遺物だろう
774ワット発電中さん [] 2017/02/17(金) 15:51:15.09:hlls6gJd
Socだと何がいいんだ? 
774ワット発電中さん [] 2017/02/17(金) 18:04:36.12:Ma3vUtjD

宇宙線に強いのはアンチフューズだけど。
フラッシュとS-RAMではフラッシュが強いような気もするけど実際どうなの?
774ワット発電中さん [] 2017/02/17(金) 18:06:38.89:Ma3vUtjD

ARMはハゲが買収したから死刑フラグでたわけだろ。
774ワット発電中さん [sage] 2017/02/17(金) 18:27:11.77:s+oorJsN
今はザイリンクスもZynq推しだし、やっぱりARMじゃないかね
774ワット発電中さん [sage] 2017/02/17(金) 21:11:59.54:aylmQKB8
Xilinxならただで全部出来るぜ
Altera SoCはベアメタルのデバッガは有料
774ワット発電中さん [sage] 2017/02/17(金) 21:42:26.93:DN5VibV1

その昔はXにPowerPCが入ってた事もあるし、その逆でActelはソフトCPUのCortex-M1が使える。

NIOSもSoCより安いから良いもんよ
774ワット発電中さん [] 2017/02/18(土) 04:02:25.82:tSpuag3S
>73
えっ、DEO-NANOって有料ツールがないと開発できないの?
774ワット発電中さん [] 2017/02/18(土) 04:19:39.52:tSpuag3S
DEO-NANO買ってみようかと思ってたが有料ツールがないとFPGA部が触れないのなら
意味ないが、ベアメタルデバッグってどういう意味で使ってるんだろ?
774ワット発電中さん [sage] 2017/02/18(土) 06:41:31.53:6UkuyLoN

> SoCだと何がいいんだ? 
FPGA SoCは意味無いと思う俺。
汎用SoC使って、足りないとこをFPGAにすればいい
774ワット発電中さん [sage] 2017/02/18(土) 07:27:36.96:E/QkFLpT

フラッシュのが回路自体のソフトエラーは無いから強いよ
FF自体は書き換わるのは同じだろうけど、
回路自体が書き換わらないなら何かしらできるしね

軍用とか航空、宇宙はActelのアンチヒューズが独占してるらしいな
774ワット発電中さん [sage] 2017/02/18(土) 08:00:20.40:636j3z1s

ゴメン、ARMコア入りFPGAの話な
Quartusの無償版ではLinuxターゲットしかデバッグ出来ないって事
774ワット発電中さん [] 2017/02/18(土) 08:32:31.13:BVx0BpT3
Actel・・・もう無いんだがIntel言いたくない人と同じなのかね
774ワット発電中さん [sage] 2017/02/18(土) 09:40:14.61:qmr31lkN

コイツ、何言ってんだ?
無償版で使えないのは、XiのChipScopeの方だろ
774ワット発電中さん [sage] 2017/02/18(土) 09:55:49.56:2/YWJCMJ

は?最新のvivadoならロジアナも無料だよ
774ワット発電中さん [sage] 2017/02/18(土) 10:21:15.64:qmr31lkN
そうか?、そりゃ悪かったな
ISEの終了と共にXi使うの止めたから、最新の状況は知らん

んで、>> Quartusの無償版ではLinuxターゲットしかデバッグ出来ない
これは、どういう意味だ。
774ワット発電中さん [] 2017/02/18(土) 10:41:00.47:tSpuag3S
>83
Linuxまで触るつもりはないが、FPGAの書き換えができないと意味ない。できるだろ。
それができるんなら、NIOSを載せてARMとも連携できるはずだよな。
774ワット発電中さん [sage] 2017/02/18(土) 10:43:26.16:raDEheUg

だから、そういう意味なんだって
Quartusの無償版ではAltera SoCのソフトのデバッグはLinuxしか出来ない
ベアメタルソフトのデバッグは出来ないの
↓の下の方に書いてある
ttps://www.altera.co.jp/products/design-software/embedded-software-developers/soc-eds/ds-5-toolkit.html
774ワット発電中さん [] 2017/02/18(土) 10:46:28.63:tSpuag3S
>77
 5$のラズパイを日本でもそろそろ売り出すらしいが、10$でもいいからMAX10
をのっけてくれんかのーw
だれか中国人をけしかけて、つくらせろよ。全くコンパチ品を作っても芸がないだろ
うにな。
774ワット発電中さん [] 2017/02/18(土) 10:52:18.26:tSpuag3S
>85
だからさー。ベアメタルってところが意味不明なのよ。具体的に例を挙げてみてよ。
Linuxを載せて、その上にアプリを載せてアプリのデバッグはできるんだろ。
何ができないんだよ。
FPGAの部分はVerilogで書いてデバッグできるんだろ。当然Niosだってのせることは
できるよな。
774ワット発電中さん [sage] 2017/02/18(土) 11:24:05.97:qmr31lkN
みたら、有償で当たり前のような かなり特殊なツールじゃねぇか
Xiは同程度の機能が無償なのか?

ありゃ便利だけど
このレベルになると、そもそもツールに無償のなんか求めないし
それでメシ食ってるヤツでも無い限り使わん

DEO-NANO-SOCで遊んでみようか ってレベルなら
余程の事でもない限り、無償のGDBとSignalTapで間に合う

違うか?
774ワット発電中さん [sage] 2017/02/18(土) 11:49:30.65:qmr31lkN
もう一つ
>Altera SoCのソフトのデバッグはLinuxしか出来ない
これは何を指してるわけ、Windows(ARM判)上で走るソフトの事?
なら、そんなモンMS以外 誰も求めてないだろ

ツールがLinux判しかない、って意味なら そうかも知らん(調べてないけど)
EDA-ツールベンダはLinux対応が基本だからな、 別に不思議じゃない。

もし、この$995のツール必須なら DEO-NANO-SOCなんか誰も買わんよ
だが、ググれば 買って遊んでるヤツはたくさんいる
無くても別に困らないって事だろ
774ワット発電中さん [sage] 2017/02/18(土) 12:57:00.84:PbXZ7pbS
ベアメタルのアプリが作れないってこと?
例えばオリジナルのブートローダーが作れない、とか、DRAMいっぱいまでFPGAが使うのでLinuxを走られる場所が無い、
とか、ARMの計算力だけ欲しい、みたいな物が作れないって事になるのかな?
Linux入れるとメモリとかストレージエリアとかそれなりに必要だし。
レジスタ直接アクセスするのにドライバ作ったりmmapみたいな余計なことしたくない、とかもあるか。
774ワット発電中さん [sage] 2017/02/18(土) 16:27:30.04:nERUxbj9


ベアメタルのアプリが作れないのではなく、デバッグ方法が提供されていないという意味かと。
自前でgdb stub作ればデバッグできるはず。
774ワット発電中さん [] 2017/02/18(土) 17:11:00.39:BVx0BpT3
つかARM使ってたらDS-5知らないとかありえるんかね
というレベルのソフトなんだけどな・・・
そんな荒れるような話でもないと思うんだが
774ワット発電中さん [sage] 2017/02/18(土) 17:22:07.20:iYbVIAFy

Realview なら持ってるけど使えるかな?
774ワット発電中さん [] 2017/02/18(土) 17:22:50.59:tSpuag3S
ARM使ってるがしらないよ。w それに荒れてないと思うが、、、
774ワット発電中さん [sage] 2017/02/18(土) 17:47:23.66:PbXZ7pbS
サンクス
あ〜なるほど。どこかでバイナリ作って実行はできるんだ。
でもバイナリ流し込むにしてもJTAG公開されてないとSDカードとかに限られないかな?
自前でGDB入れるにしてもJTAG使えないとUART経由とかか・・・
JTAGのサポートとかこの辺がDS-5無償版では制限されてる感じなのかな。
ひょっとしたらスタートアップ周りも自前になるのか。ARM HPCコアのスタートアップ自前でとなると大変そうだ。
774ワット発電中さん [sage] 2017/02/18(土) 18:05:24.71:nERUxbj9

SDカード経由ならできるはず。この場合はu-bootが起動するので、ここで止めてイメージをロードできると思う。、
以前購入を検討したとき、以下のような感じだったはず。
1. USB Blaster互換のJTAG I/F経由アクセスする方法->添付されているDS-5はこの方式、アクセス方法が未公開(?)
2. ARM Core用のJTAG I/F を使う場合 normalな2020 or 10 pinならCortexA-9用のJTAG ICEが使えるはず。ETM対応の38pinbの場合は、それに対応した\iCEが必要。
774ワット発電中さん [sage] 2017/02/18(土) 18:33:19.68:iYbVIAFy
Zynq で FPGA だけ使おうと思っても勝手に ARM が動き出しちゃうんだよなぁ。
起動してすぐに SLEEP するように出来ないものか?
774ワット発電中さん [sage] 2017/02/18(土) 18:39:08.45:dlewz0Zl
CPUを使わないのにZynqを選んだ理由について
774ワット発電中さん [sage] 2017/02/18(土) 20:54:39.82:PbXZ7pbS
たびたびサンクス
・ブートストラップとかはu-bootに任せて、自前のアプリだけ実行するのはOK。
 けどデバッグ機能はろくに無いのでエイやで実行する感じ。
・SDカード以外のブートは無理
 一度SDから立ち上げてオンボードのROMとかに書き込めば出来るかも。

u-bootのソースからHPC固有のブート部分だけ抜き出して、自前のアプリとくっつけるのも出来そうではあるね。
(そこが公開なのか?というのも調べないと…)
けどHPCの設定を変更したい場合、システムレジスタの設定はユーザの責任。標準u-bootのソースそのままは使えない?
Niosみたいに変更を反映したソースを自動生成してくれると良いのだが…というか、それも有償版DS-5の範疇か。

バイメタルアプリ作るなら素直に有償版買った方が早そうですね。
774ワット発電中さん [sage] 2017/02/18(土) 20:59:33.23:PbXZ7pbS
ベアメタルだw

ZynqはFPGAのコンフィグをARMからしかできないから、コンフィグ後に眠らせるしか無いのでは?
774ワット発電中さん [sage] 2017/02/18(土) 21:57:19.49:/S7bgbBZ
日本だとZynqでベアメタルやITRON系だ! っていそうだよな
774ワット発電中さん [] 2017/02/19(日) 00:07:38.73:UdLWMfG4

それな
もともとZynqってA9にArtix-7やKintex-7をつないで
1パッケージにした物なんだから単体の物を買えば良い話
774ワット発電中さん [] 2017/02/19(日) 00:10:15.28:UdLWMfG4

ARM純正の環境知らないで草生やすとか・・・
Keil MDKも知らない言い出しそうだな
774ワット発電中さん [sage] 2017/02/19(日) 00:41:46.77:9GJTbHxg

たまたま小さいボードが安かったから。
ttp://www.trenz.jp/products/te0722/

digikey で買ったけど、結局無駄になった。
774ワット発電中さん [sage] 2017/02/19(日) 07:24:52.82:I50YyanV

出来るじゃん
774ワット発電中さん [] 2017/02/19(日) 07:41:14.11:2MpNDv3J
>104
高いな
もっと安いんはないんか?
774ワット発電中さん [] 2017/02/19(日) 07:47:57.64:2MpNDv3J
Zenqの入門でもっともやすくてLinxが走るの紹介して
774ワット発電中さん [] 2017/02/19(日) 08:07:52.42:2MpNDv3J
DEO-NANOとZenqBerryはどっちがおすすめ?
774ワット発電中さん [sage] 2017/02/19(日) 08:46:53.39:9GJTbHxg

マジか?
やり方教えてくれ。
774ワット発電中さん [sage] 2017/02/19(日) 08:57:24.31:i9nMtpfF
自力で頑張る気概
774ワット発電中さん [sage] 2017/02/19(日) 09:33:18.08:mAbkpX0u
この業界が廃れる理由を垣間見た
774ワット発電中さん [sage] 2017/02/19(日) 09:41:37.58:JX6jo/cr
全てを見通してわかってる風に茶々いれる口数が多いやつほど何も成さない法則
774ワット発電中さん [] 2017/02/19(日) 10:50:58.58:e690PqHv

そういうレベルの質問をここでする人がZynqいじるなら
いらんところではまっても解決できないだろうから
多少高くても純正品に近い扱いのZedboard買った方が良い
ここの人はIntel(Altera)推しが多い印象だから人に聞きたいならそっちでいいんじゃないかと思うけどな
774ワット発電中さん [] 2017/02/19(日) 11:05:22.95:2MpNDv3J
Zynqは完成度が低いってことか?
774ワット発電中さん [] 2017/02/19(日) 11:07:01.16:2MpNDv3J
たしかにXiは宣伝が派手だが蓋を開けてみるとガタガタってことがいままでしばしば
あったな。
774ワット発電中さん [sage] 2017/02/19(日) 11:35:19.82:3yAAAFMQ
DE0-Nano-SoC $99
ttp://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=167&No=941&PartNo=1

Zybo Zynq-7000 ARM/FPGA SoC Trainer Board $189.00
ttp://store.digilentinc.com/zybo-zynq-7000-arm-fpga-soc-trainer-board/

デバイス単体なら、どちらが勝ってる とか言い難いが、
ボードレベルだと↑だ、比べれば判る
774ワット発電中さん [] 2017/02/19(日) 14:27:37.59:2MpNDv3J
ttp://www.trenz.jp/products/te0726/
比較するならこれじゃない? Pi互換だからかなりいい感じ
774ワット発電中さん [sage] 2017/02/19(日) 14:54:22.83:Ifi0qoLB
Zynqはアカデミックで買えるならいいけど一般価格で買う気には?


むしろArduinoこそ突然の電源断でも何も問題ないけどスペックで見劣りするから
FPGAで補強しようとか考えるところないかしら
774ワット発電中さん [sage] 2017/02/19(日) 15:05:09.80:3yAAAFMQ
先に上げたのは
どちらもFPGAベンダーの、代表的なボードメーカーの製品
公平な選択だろ

俺が上げたのより、安いってのはその通りだけど
端子が配置がPi互換ってだけで、
Piのイメージで動くわけじゃないから、大した意味無いよ

PiもFPGAも使った事ないのか?
774ワット発電中さん [] 2017/02/19(日) 15:25:49.95:UdLWMfG4
そんなんだからZedboard推してるのにドスルーなのな
開発環境入れたら最初から色々設定はいってるし
ネットの情報も多いから、安いからとかそういう理由で
変な物買って積むくらいならちゃんと勉強できるものを
買う方が最終的に投資に対する効果が高いよ

あとZynqBerryは持ってるけどメーカーが用意してる物が
少し特殊だからはじめの一枚には全くお薦めできない
形が同じってだけでピン互換な訳でもないし、互換にして
使うならばRPi買えばいいし何をしたいのかという話

何か目的があるとか、既に色々経験があってSoCも試しに
触りたいというならいいと思うけど、そうじゃないなら
FPGAならFPGAのみ、ARMならARMのみの環境を
ちゃんと使いこなせるようになってからSoCに手を出すべき
やること、やれること、覚えることが多いからいきなり
手を出すと訳わからなくなるんじゃないかな
774ワット発電中さん [] 2017/02/19(日) 16:02:50.12:2MpNDv3J
>120
確かに。仰せの通りだな。しかしやっぱり流行りのも使ってみたいなー。

それにARMだから特殊ってことないだろ。PICやHEW系ならよくつかってるから
ARMも新しいPICくらいにしか思わんけど、、どうせCでプログラムするだけだし。
FPGAは殆どAlteraしかつかったことないし、そもそも普段は使わない。たまに
つかう。まあでも適当に使いこなせていると思う。
Piは今ちょうど勉強中でapt-getで何とか使ってるレベルだな。
Linuxにくわしくないのよ。Windowsなら.netなら自由にプログラム組める
けどC#とかVBとか.netの範囲でね。

今一番やりたいのは何かというと、LinuxでIOを叩きたい。高速転送がやりたい
FPGA部にレジスタを配置して、レジスターにライト
FPGAからの割り込みで、FPGA側のIO(メモリー)をLinuX側のDDRにDMAしたい。
 PiではFPGAを外付けしてもこういうことはできないからね。
SOCなら出来そう。できないとSocの意味ないな。
774ワット発電中さん [] 2017/02/19(日) 18:35:49.42:Z1Xa571f
これからMICO8を始める漏れにはワケワカメに盛り上がってるね。
774ワット発電中さん [sage] 2017/02/19(日) 19:59:19.97:i+ChgJRO

>いらんところではまっても解決できないだろうから
どれが良い?って聞く人では小さなSoC FPGAの問題も解決できなんじゃなのかなって気がするよな
774ワット発電中さん [] 2017/02/19(日) 21:01:27.40:2MpNDv3J
どんな問題を想定してるの?
 具体性が示せないのではだめだろ。経験値があるなら具体的に的確に表現
できるもんだよ。「気がする」てのは占いレベルだろ。
774ワット発電中さん [sage] 2017/02/19(日) 21:11:28.74:i+ChgJRO
>DEO-NANOとZenqBerryはどっちがおすすめ?
どちらがおすすめな気がしますか
占いレベルでおすすめ教えてください
774ワット発電中さん [sage] 2017/02/19(日) 23:03:22.65:JFMNAGYr
PowerPC使わなくてもコレどうですかって言ってたねXilinxは
FPGAだけも辛いから汎用SoC市場も考えるって言ってたのがZynq
Zynqのシリコン出来る前の話だから今は知らないけど
774ワット発電中さん [sage] 2017/02/20(月) 00:12:41.50:lDQGqttb
Pi-Zero $5 とか出されたからなぁ
これだと、乗ってるARM-SOC $2 ぐらいか

秋月の中クラスPIC 程度の値段だから
FPGA-SOCとか、売る方にとっては厳しいよな
774ワット発電中さん [] 2017/02/20(月) 04:26:51.26:xNFlbfHT
Picの10000倍の性能があって同じ値段ではやってらんないよ。

しかし売る方だけじゃないよ。
Piは成熟してきたからね。Linuxの障壁が無くなったし、そのLinuxが
Openソースで驚異的難度のソフトを素人がapt-getですぐにダウンロードして
組み合わせて使うことができる。
 画像認識、音声認識なんて最先端の成果をこともなげに使えるからソフト屋も
FPGA屋もおちおちしてられないよ。
 たとえばCQ出版のインターフェースに載っていたがきゅうりの選別装置なんて
恐らく同業者は驚異に感じたと思うよ。普通に開発会社に見積もりさせたら3000万
くらいは余裕だったと思うが、これみたら100万くらいでやる奴だって出てくるかも
しれない。((((;゚Д゚))))ガクガクブルブル
 特にFPGAなんて金取れるところと言ったらまあ画像処理だけだろ、ある日
Pi用の汎用GPUの乗ったIOが500円で売り出されてしまったら、いままで1000万で
受注していた画像処理の仕事が日曜大工並みの値段になってしまう。
((((;゚Д゚))))ガクガクブルブル
 うどんか蕎麦屋でも始めた方がいいかもな。

すまん夢の無い話で、、、きゅうりの選別装置をみて小便ちびりそうだった。
ショックだったよ。おおおお俺の仕事がなくなっていく、、、、。
774ワット発電中さん [] 2017/02/20(月) 05:09:12.61:xNFlbfHT
研究者だっておちおちしてられないよ。きゅうりの選別って一寸した研究レベルの
ことだものな。1億の予算を取って、今日はその研究の成果を発表しようと思って
ふとインターフェースをみたら、まったく同じことをド素人が発表してる。
てなことになると、「ショボイ研究しやがって、1億返せ」ってことになりかねん
からな。
774ワット発電中さん [sage] 2017/02/20(月) 06:41:48.75:x/eOpbop
CPU内臓のFPGAは残念な結果に終わるよね
774ワット発電中さん [] 2017/02/20(月) 08:13:52.03:xNFlbfHT
えっ、なんで?
774ワット発電中さん [sage] 2017/02/20(月) 08:24:17.78:pYr8ZyhM
結局、使いこなせる人が少ないだけなんじゃねぇの?
そこまで必要な特注案件も少ないだろうし
774ワット発電中さん [sage] 2017/02/20(月) 08:25:39.93:CHOQ3aIQ

zynqは成功してると思うよ。
cpuとfpgaを別々に実装してた頃には戻りたくないね。
774ワット発電中さん [sage] 2017/02/20(月) 08:27:22.41:UnFfsUtw
ID:UdLWMfG4
なんだこの句読点が使えない奴は?
日本語の勉強からやり直せ
774ワット発電中さん [sage] 2017/02/20(月) 08:47:01.02:Oud42FTO
vivadoの最新版はロジアナ、シミュレーション、高位合成が無償バージョンで開発できる。

自作IPもaxiバスでarmコアから簡単に制御出来る。

一昔前じゃ考えられないくらい
便利になったと思う。
774ワット発電中さん [] 2017/02/20(月) 09:07:47.86:xNFlbfHT
やっぱりアルテラよりもXiの方がすすんでるのか?
774ワット発電中さん [sage] 2017/02/20(月) 09:08:35.55:CcDTYWHo
一瞬クロッシィかと思った
774ワット発電中さん [] 2017/02/20(月) 09:30:30.79:xNFlbfHT
NIOSとかソフトCPUは2個くらい載せることできるんだろか?
774ワット発電中さん [] 2017/02/20(月) 10:23:04.10:6eVlzVUR

2000円くらいのパーツで楽勝だよ
774ワット発電中さん [] 2017/02/20(月) 10:55:46.33:T85Se10a
こんな所にも句読点先生わくんだなw


もともとニッチなところを埋めるデバイスだしね。
俺の業務では採用例はあるけど、自分自身では治具とか
プロトタイピングにしか使った事無いな。
量産品だとコストを含めてFPGAじゃないと困るという
場面は実際少ないからなぁ。勿論作るものによるけど。

なんとなくホビーな人は、凄い事が出来そうな魔法の石だし
何かこれを使って面白いこと出来ないかな、とか漠然と
考えてる人が多そうな印象なんだよな。
774ワット発電中さん [] 2017/02/20(月) 12:17:59.07:xNFlbfHT
>139
そうなの? CQのmax10の雑誌に付録でついて来たやつで、一寸これから
ためしてみる。

 spi のデバイス ADとかDDSとかDAとか5,6chあってそれに
16chほどUARTが必要なのだが、全部FPGAで組んで実装できないこともないと
思うが、そこまでスピードもいらないので、2,3個NIOSをのっけて
レジスタを叩くだけの簡易ポートだけつけて全部ソフトでやろうかとも
思うのだが、こんな考え方ってあり?
774ワット発電中さん [sage] 2017/02/20(月) 13:38:08.45:lDQGqttb
CQのmax10だと、微妙だなぁ
安くもないし、規模も小さいし 加えてConfigも怪しい
なんでそんなもん買ったんだ? って感じ

まぁ痛い目に会ったぶんだけ、勉強になるから無駄にはならないよ。
774ワット発電中さん [] 2017/02/20(月) 14:44:58.24:xNFlbfHT
これを規模小さいというのか? 腐るほどリソースがあってどう料理しよう
かと悩んでるが、、、
774ワット発電中さん [sage] 2017/02/20(月) 15:42:00.95:lDQGqttb
それなりの、測定器/予備バーツ等 持ってりゃ大丈夫だろうけど
↓あたりを見ておいた方がいいぞ、それなりのベテランでも痛い目あってるから
実物は持ってないが、これなら俺でも嵌る

ttp://nahitafu.cocolog-nifty.com/nahitafu/2016/05/max10-70b8.html
774ワット発電中さん [sage] 2017/02/20(月) 21:00:02.66:SEBEj6ns
ソースついてくるから、ライタで書き込んだ方が楽そうだな。
一通りそろってるから、入門用には良さそうな感じしたけど落とし穴有るね。
774ワット発電中さん [sage] 2017/02/20(月) 21:35:15.73:lDQGqttb
もう、既にぶっ壊してんじゃない?

あまりの苦情に、後続ロットで対策講じたのかどうかは知らないが
USB端子が付いてるから、全員とは言わないまでも 8/10 ぐらいは挿しちゃうよな

それでPICが逝ってしまうんだから、むしろ嵌らない人の方が凄い
774ワット発電中さん [sage] 2017/02/20(月) 22:41:36.16:bCLOULNt
CQのゴミ基板にまだ期待してる奴なんているのか
774ワット発電中さん [] 2017/02/21(火) 03:10:40.61:qXhhIp2B
CQ編集部には技術や居ないから、ナンチャッテ技術やのウンコ設計基板を読者に使わせると
判明してからは技術屋さんはCQの出版物なんて完全無視でしょ。
774ワット発電中さん [sage] 2017/02/21(火) 03:47:19.89:g1nEivf+
マニュアルもろくに読まない自称凄腕が何か言ってるな
774ワット発電中さん [] 2017/02/21(火) 03:59:45.73:qXhhIp2B
寺は「USBブラスターモドキ」とのセット付録を良く許したな。
774ワット発電中さん [sage] 2017/02/21(火) 05:49:29.48:zRkho1+u
初心者は本とかじゃなくてterasicでボード買ってラーニングロードマップ通りに練習するのが一番いいと思うよ。英語だから敬遠したくなるかもしれないけど、初心者向けにかなり丁寧に書いてくれてる
774ワット発電中さん [sage] 2017/02/21(火) 08:04:59.13:q5dwhk/U
英語の習熟度によるよなあ。
ブンガクと違って技術用語は読みやすいって言っても、程度の差はあっても自分のネイティブ言語ほどはすんなり入ってこないだろね。
わかりにくフレーズに遭遇したとき、同じ言語能力でも、内容について学習できている人は、文脈から解釈しやすいけれど、
そうでもない人は余計に苦労する。

ブンガクと違って読みやすいって言ってる人も、実は、内容のことをある程度わかっているから解釈しやすくなっているだけこともある。
初心者は、その内容にアテを付けることが難しい。

少々内容にまずいところがあっても、とりあえず日本語の書籍でざっくりした知識や体験を積むと、英語の資料も読みやすくなるし。

できている人は、できていない人がなぜできないのか、なかなかわからんものだよな。
774ワット発電中さん [] 2017/02/21(火) 08:20:00.67:gBrgL65G
その日本語の資料が糞みたいな物しか無いから英語のを
読む方が良いって話なのにねぇ
基本データシートも英語読めないと話にならないし
いちいち言い訳して逃げちゃう人は向いてないとしか
言えないけどナァ
774ワット発電中さん [] 2017/02/21(火) 09:02:29.39:qXhhIp2B
電子部品のでーたーシートが英語だって? あんなの英語ではないよ。

といいつつも、中国語のでーたーしーとはお手上げだよ。
774ワット発電中さん [] 2017/02/21(火) 15:31:45.95:1JWPpf8v
USB blaster II って クロンでてないね。USB BLASTER よりは安いたって諭吉が数人飛ぶよ
774ワット発電中さん [sage] 2017/02/21(火) 17:46:46.52:q6wVINCX
今は、アングラのcheap boadでも usb-config 乗せてるからね
もう単体での需要が殆ど無いんでしょ
774ワット発電中さん [sage] 2017/02/21(火) 18:15:34.73:g1nEivf+

英語でないなら何語で書いてあるんだ?
774ワット発電中さん [] 2017/02/21(火) 20:31:25.78:1JWPpf8v

英語だよ。だけど日常で人間が話してる英語ではない。
774ワット発電中さん [sage] 2017/02/21(火) 20:34:11.15:jAQF1Bud
話し言葉だけが英語なのか
774ワット発電中さん [] 2017/02/21(火) 20:46:10.45:1JWPpf8v
そんなことよりスレタイ古いままぢゃん

>XILINX/ALTERA/Lattice/Actel

罪鈴楠、淫照、裸丁酢、微小蝉 だろ
774ワット発電中さん [sage] 2017/02/22(水) 08:09:47.91:j4CAe4Aj
えっ
774ワット発電中さん [sage] 2017/02/22(水) 10:09:54.83:/wmMcCK/
中華の5$ぐらいの偽USBブラスタ試した人いる?
774ワット発電中さん [] 2017/02/22(水) 10:12:54.62:uCVlHhkb

ついでになんとなく一つ買ってみたけど持ってるボードが
みんなUSBから焼けるから使った事無いんだよな・・・
774ワット発電中さん [] 2017/02/22(水) 10:15:30.09:oeFu5sxT


ピックつこうてるんはモドキのパクリやろ
774ワット発電中さん [sage] 2017/02/22(水) 14:58:49.98:/wmMcCK/

パクリはわかってるんだけど
今度MAX10(NIOS入り)の仕事のヘルプが振られそうだから
絶対数が足りないのに買ってもらえないんで
自分専用に在ればいいなと。
バイトブラスターなら余ってるんだけど
今どきパラレルポートを増設するのもばからしい
774ワット発電中さん [] 2017/02/22(水) 19:29:50.24:jsXv2bBL


わかってるならなんで質問を?
万一動作がおかしくてもモドキにすればいいんだからどうにでもなるでわないかいな
自分で一から作る部品代よりもアマゾンで中華買うほうが安いんだから。
774ワット発電中さん [sage] 2017/02/22(水) 20:07:45.87:ocyxQb8O

胡散臭いものを買う言い訳をみんなに聞いてもらいたいんだよ
そのくらい察してやれよ
774ワット発電中さん [sage] 2017/02/22(水) 20:09:40.25:Db7MQC2T
$5 なら、さっさと買って試せばいいじゃん
何なの? この人

もっとも CHINA POST だと、何時来るか解んないけどな
或いは、永遠に来ないかも
そっちの方が痛いか
774ワット発電中さん [sage] 2017/02/22(水) 20:47:01.42:HjuzI1tO

チラシの裏にでも書いてろ
774ワット発電中さん [sage] 2017/02/22(水) 23:00:30.53:dWZoopeW

使用感違いなし
中にMAX2入ってた
774ワット発電中さん [] 2017/02/23(木) 01:20:57.96:M5Fmzw1c


モドキではない奴なんだね。クロンの方だね。
774ワット発電中さん [] 2017/02/23(木) 05:13:23.94:A6j3E8j2
>英語の習熟度によるよなあ。

最近英語の勉強を始めたが、英語を覚えるのは楽やな。Verilogみたいな糞言語を
使ってるせいで無茶苦茶かんたんに思える。
Verilog、VHDLこの巨大糞何とかならんのか?w
774ワット発電中さん [sage] 2017/02/23(木) 06:19:15.68:Ef8oMXXx
サイクロン10が発表されたみたいだけどスレ的にはどんな感じ?
1.2Vが使えるからエネループが使えるっぽいけど
774ワット発電中さん [sage] 2017/02/23(木) 07:10:20.33:7a4Z2y1T
安定度的に無理じゃね
774ワット発電中さん [sage] 2017/02/23(木) 07:20:59.50:xUZ3/6GN
FPGAってバッテリー駆動どうなん?
マイコンだと800μAで動いたりするけど

バッテリー駆動の製品皆無だからやったことない
774ワット発電中さん [sage] 2017/02/23(木) 07:40:15.09:0WiXgsow
LEが6kから、EQFPも有りそうだから 安物ねらいか
興味があるのは、価格くらいだな
774ワット発電中さん [] 2017/02/23(木) 10:16:56.30:A6j3E8j2
ぜってい無理。大飯くらいだから
774ワット発電中さん [] 2017/02/23(木) 10:18:02.97:A6j3E8j2
大飯食う癖に仕事のスピードは遅いし、使いにくいし、、、、
774ワット発電中さん [sage] 2017/02/23(木) 10:25:53.47:mQMknegb
ハゲだし、女癖悪いし。
774ワット発電中さん [] 2017/02/23(木) 10:55:39.69:V9QRM/PF


IGLOOやIGLOO2の土壇場だよ。SRAMつかってないからね。
774ワット発電中さん [] 2017/02/23(木) 11:17:00.69:bO/QimEE
なんか最近今まで話題にもなってなかったmicrosemi推しの人いるねw
LatticeのiCE40系は腕時計での実績あるけどIGLOOは
どんな採用事例があるの?
774ワット発電中さん [] 2017/02/23(木) 13:05:17.82:A6j3E8j2
省電力だとやっぱLatticeだろ。
774ワット発電中さん [sage] 2017/02/23(木) 22:30:26.98:tkspGHZ3
terasicからDE10のレビュワー/デバッガー募集のメールが来た
774ワット発電中さん [sage] 2017/02/24(金) 01:08:01.83:BMTX7oFV
高位合成は、普及して行くのだろうか。。
もう、みんな使ってるの?
774ワット発電中さん [] 2017/02/24(金) 05:39:46.71:ageLrZTD
>183
 demo youtubeを聞いた。ナレーションが可愛いので最後まで聴いてしまった。
この英語は一寸中国訛りがあるんかな。時々口が縺れたりして無茶苦茶可愛いな。
イクスペンション ヘイデューってなん? とおもったらイクスパンション 
ヘッダーのことだった。男が訛ってると山に帰れと思ってしまうが、女の訛りは
可愛いいな。
774ワット発電中さん [sage] 2017/02/24(金) 09:29:54.19:sqcxe7dE
DE10
Lite 事かと思ったら Standard だって、オレがReviewしてやるよ

既に似たような製品出してる
名称が紛らわしい
HSMイラネ
774ワット発電中さん [] 2017/02/25(土) 08:48:38.47:SSrESA0m
USBモドキを組み立てる気でしたが、心が折れました。
400円(送料込み)のを買うことにします。
774ワット発電中さん [] 2017/02/25(土) 19:11:04.37:6x8Xot2K
FPGAの開発環境ってやっぱウインドウズが安定してるん?

linuxとかのmacつかった開発環境の安定性

どんなもんかおせーて?
774ワット発電中さん [] 2017/02/25(土) 19:19:22.56:6x8Xot2K
Lattice の開発環境が エンタープライズ版推奨で

ubuntu とか centos でちゃんとうごかないんだけど

どぅーいうこと?

なっとくいかんくない?
774ワット発電中さん [] 2017/02/25(土) 20:47:37.33:JWDHJm6H
XのはUbuntuで普通に使ってるぜ
オプソのものならともかくオフィシャル環境でMac版のあるメーカーなんてあるっけ?
774ワット発電中さん [] 2017/02/25(土) 22:12:40.36:6x8Xot2K

microchipのFPGAって使ったことないけど
どうなん?
774ワット発電中さん [] 2017/02/25(土) 22:19:25.88:6x8Xot2K

xってXILINXのことなん?

詳しくおせーて?
774ワット発電中さん [] 2017/02/26(日) 00:42:40.15:ql3Z08fw

microsemiの間違いかな?
SmartFusion2のボードなら買ったけど確かLinux用は
ライセンスだかなんだかの問題で使えなかったのと
大人の事情でZynqを使わなくてはいけなくなったので
積んでるわ・・・って何でこれを俺に聞いたのかw


Xilinxの事ね
詳しくって手順通りインストーラーで入れるだけで動いたし
ドライバーとかも特にハマる要素は無かったけど・・・
Ubuntu 16.04 LTS 64bitね
まだ勉強中だから深く使えて無いけどWindows版との
違いは特に思いつかなかったかなぁ
774ワット発電中さん [sage] 2017/02/26(日) 03:11:23.18:Y8hvhqpH
前に書いてた人がいて試してみたけど、ubuntuだとWindows(x64) よりも
短い時間で synthesize-map-route ができるみたいだね
774ワット発電中さん [] 2017/02/26(日) 10:02:29.37:pH5nrZrN

Xilinxの開発環境はフリーで使えるん?
入門用のXilinxのチップっていくら位から買えるんかおせーて?
774ワット発電中さん [] 2017/02/26(日) 13:59:52.54:RIIaLRYf
罪のFPGAはプログラミング用のメモリーが糞高い
今は改善されてるのかな?
774ワット発電中さん [] 2017/02/26(日) 18:28:17.11:ql3Z08fw

ttps://joelw.id.au/FPGA/CheapFPGADevelopmentBoards
Xilinxの開発環境はフリーのはデバイス限定だけど使える
つーかホームページに書いてあるレベルなんだからその位は
自分でggrks そんなんじゃボード買っても何もできないぞ
774ワット発電中さん [sage] 2017/02/26(日) 18:53:33.53:Y8hvhqpH

竜宮城に行ってたんだね?
10年以上前の Spartan-3E くらいから標準のSPIフラッシュ
つなげるようになったはず
774ワット発電中さん [] 2017/02/26(日) 20:13:36.82:RIIaLRYf
>ボード買っても何もできないぞ

うぐっ
774ワット発電中さん [] 2017/02/26(日) 20:53:36.03:pH5nrZrN
latticeのverilogで

ポート出力をON OFF したいんだけど

出来無い たすけて!

どうすればいいん?
774ワット発電中さん [sage] 2017/02/26(日) 21:08:16.35:9z8BtupW
どうなることを期待してどう書いてどうなったか
774ワット発電中さん [] 2017/02/26(日) 21:37:36.61:pH5nrZrN
っていうか書き込み電圧間違えて3.3V
のラインに5V流しちゃったんだけど
もうだめかな?
だからポート出力書き換えてもへんかしないんかな?
774ワット発電中さん [] 2017/02/26(日) 22:18:18.79:pH5nrZrN
latticeでの
verilog の書き方が詳しくのってるページとかおせーて?
774ワット発電中さん [sage] 2017/02/26(日) 22:32:25.70:LjGO7t07
悪いことはいわん そんなレベルならArduinoでも使うんだ
774ワット発電中さん [sage] 2017/02/26(日) 22:33:44.26:5Nj+z8MV

lattice昔使ってたなー。

Diamondっていうツールだった。
この辺が詳しそうだが。 

ttp://www.tecstar.macnica.co.jp/product/lattice/LatticeSupportHP/LatticeKKmanual.htm
774ワット発電中さん [sage] 2017/02/27(月) 00:19:00.52:rH9c4cYO
ttps://twitter.com/ankyo3/status/834402964785618944
F1というマイナーな機種で3D初代をFPGAで作った。このときは自分が最高責任者だったので辛かった。
一応製品になったけど。ZG2はいろいろな意味でひどかった。
短命でむしろ助かった。あれはマネージメントが駄目。
774ワット発電中さん [] 2017/02/27(月) 07:42:57.99:z7vnK5up

PICとかは慣れてるから原因がわかるけど
初めてのチップはLチカまでが勝負じゃい
774ワット発電中さん [sage] 2017/02/27(月) 08:03:34.18:ujfOVgY8
arduino簡単だよね。
774ワット発電中さん [] 2017/02/27(月) 20:43:59.75:IhRTh8M5
PIC
で、ビルトすると、シンタ × エラー ってメッセージがでました。
シンタって誰?
774ワット発電中さん [] 2017/02/27(月) 21:36:01.19:z7vnK5up

あんがと


構文エラー? どこでエラーが出てるか表示されてないん?
774ワット発電中さん [] 2017/02/28(火) 08:03:14.69:pcYfHGQY


ネタに構ってくれてありがとう。

漏れもこれかららティ巣はじめるんよ。よろぴくね
774ワット発電中さん [] 2017/02/28(火) 09:00:40.20:9TkFY9aa
願望を叶えるならば

ttps://www.youtube.com/watch?v=5UFEe8xIRUU

ttp://6807.teacup.com/noroikingdom/bbs
774ワット発電中さん [sage] 2017/02/28(火) 23:20:10.94:9+B7Jbkb

分かりないのは当たり前で、
自力で調べるなり出来るのが大事だと思うよ
774ワット発電中さん [sage] 2017/03/07(火) 22:23:09.93:VOrpgJX5
bemicro max10はもう生産しないんかねぇ…
774ワット発電中さん [sage] 2017/03/09(木) 02:38:47.25:YRjElNeU
もー、お前ら未練がましいぞ
774ワット発電中さん [sage] 2017/03/09(木) 22:59:20.60:qpQ+GHHh
ヤフオクにでているじゃないか。落札しよう!
774ワット発電中さん [sage] 2017/03/11(土) 19:03:52.31:QsrHlVDN
じゃあ俺も!
774ワット発電中さん [] 2017/03/12(日) 21:18:12.43:pocvxLz4
latticeでようやくFT2232の自作書き込み機でようやく書き込みでけたw

情報少なすぎw

なんだよ嵌りポイント多過ぎだろ
774ワット発電中さん [sage] 2017/03/12(日) 21:33:28.76:dCAFLPxG
純正品買おうよ。
774ワット発電中さん [sage] 2017/03/12(日) 21:35:14.89:EdY7pnlJ
人其々だろうけど、正直 lattice を選ぶ理由が思い浮かばないな
パッケージ、値段
それとも Config が趣味とか?
774ワット発電中さん [sage] 2017/03/12(日) 21:35:24.73:Djtz44Bx
おれは配線の引き回しが適当すぎたせいで、線間クロストークによる
影響でターゲットとの通信がうまくいってなくて、それに気づくのに
若干時間かかって嵌ったけどそれ以外はすんなりいった
774ワット発電中さん [sage] 2017/03/12(日) 22:23:16.68:lHPy12H4
FPGAはマイコンに比べて敷居は高めだから素直にボードを買いなさい
774ワット発電中さん [sage] 2017/03/13(月) 21:21:15.63:pcvXZNw6

嵌まりポイントってあったっけ
774ワット発電中さん [] 2017/03/13(月) 21:21:25.99:IyrBkyMY
いまどきのデジタルオシロって時間軸を100msくらいにしても

数十KHzくらいの周波数じゃエイリアシングなんて起こさないよな?

そんなぼんくら設計ってFPGAつかうやつらはふつうしないよな?
774ワット発電中さん [] 2017/03/13(月) 21:24:15.05:IyrBkyMY
知恵遅れにこの話しても通じなくて参ってるんだが?

エイリアシングについてもわかってないし

もしエイリアシングが起きてるなら計測器側の信頼問題だから使い方もきをつけなきゃいけないし

情報の共有が必要なのにそういった認識のない知恵遅れ相手にすんのもう疲れたw

まじつかえないw
774ワット発電中さん [] 2017/03/13(月) 21:27:06.68:IyrBkyMY

ネット上にのってる配線図がちゃんと配線されてる図面がないし

信号レベル供給用の配線部分の図が載ってない

FT2232がわのデータシート追わないといけない
774ワット発電中さん [sage] 2017/03/13(月) 21:53:47.37:FCssSh3Q

自分は秋月のページの資料で十分わかったけどなぁ
774ワット発電中さん [] 2017/03/13(月) 22:03:23.44:kJE6LbOC

そう思って
Brevia2 Booard Development Kitを買ったのだが、CDついてこない。基板だけ。
テクニカルサポートは無くなってる。
ispVMもないし、どうにもならん。

昔大倉電子にコケにされたから罪は使いたくない。
知らずにメメックにコンタクトして又してもコケにされたからね。

寺は野崎って野郎が担当してたんで使いたくない。
旧悪はタイ米は炊いてボード刈田けど、どうにもならん。
774ワット発電中さん [sage] 2017/03/13(月) 22:07:09.95:cu4v1eJQ
DDRメモリを繋げようとするともっと悩む事が出来るぞw
774ワット発電中さん [sage] 2017/03/14(火) 17:17:36.74:rl+wJ68O

メモリーがたっぷりあるやつなら
774ワット発電中さん [] 2017/03/16(木) 21:25:28.90:s08tnLfo

補正プログラムぐらい数行で書けるだろ?
774ワット発電中さん [sage] 2017/03/16(木) 22:05:06.43:l7+wscfd
(´・ω・`)
774ワット発電中さん [] 2017/03/18(土) 11:28:31.49:OGpIAjbk
矯正下着は数枚重ねても漏れの内臓脂肪は抑えられない。。。
774ワット発電中さん [sage] 2017/03/18(土) 13:12:34.09:38MKdruW
会社で呑気にFPGAで遊んでる人
774ワット発電中さん [sage] 2017/03/18(土) 17:59:32.96:U3A/EdXE
倉庫の隅から発掘してきたようなのを在庫処分セールする季節になったけど
古すぎて仕様がわかんないから手が出せないw
774ワット発電中さん [] 2017/03/19(日) 15:42:42.42:NeQ2C2Xc
アナログがICE2000を放出するとかいう話ない?
774ワット発電中さん [] 2017/03/20(月) 02:12:18.00:yasBEYbl
ttps://goo.gl/MFkghn
これ本当だったら、普通にショックじゃない??
774ワット発電中さん [sage] 2017/03/20(月) 03:06:01.79:FXXGEe5m
短縮はグロ
774ワット発電中さん [] 2017/03/20(月) 12:12:50.86:dD2bikjl
組み込みで大容量でバックアップしたいのだが、インターフェースが簡単で且つ高速
というのはなに?
例えば
 容量 1Gバイト
 転送速度 1Mバイト/sec〜10Mバイト/sec
くらいでいいんだが
774ワット発電中さん [] 2017/03/20(月) 12:59:40.20:3GAaddQU


それだったら、SCSIを使おうよ。
774ワット発電中さん [sage] 2017/03/20(月) 13:04:38.00:SkXnamcV

SDカード
774ワット発電中さん [] 2017/03/20(月) 14:01:00.27:dD2bikjl
>240
SCSIって媒体はなに? ハードディスク?

>241
SDカードはIFを作ってるところがあって使ったことがあるんだがシリアル転送で
SPIとかI2cとかでチョット遅いんだよね。
でも最近ウルトラSDとかあるので、ああいうのを使うのがいいのかな?
774ワット発電中さん [sage] 2017/03/20(月) 14:44:27.19:fiNZPiMn
ファイル・システムまで入れると、マイコンは避けて通れないから
それに付いて議論するつもりは無いけど

単純に読み書きだけなら、 ↓辺りを見てみれば
10Mバイト/sec 程度ならいけるんじゃないかな
試してたわけでは無いけど、ソースコードも公開されてるし参考にはなると思うよ

ttp://bitcraft.mydns.jp/embedded/sdcard/sdcard.html
774ワット発電中さん [sage] 2017/03/20(月) 15:24:54.61:X1bETisQ

> SPIとかI2cとかでチョット遅いんだよね。
1〜10MB/sならSDかーどで十分
774ワット発電中さん [sage] 2017/03/20(月) 15:54:53.12:Kk47DUrh
ファイルシステムかぁ・・・そういや、最初からファイルサイズがMAXの
ファイルを作っておいて、データ部分だけ弄る(FATやらディレクトリの
操作は一切しない)っていうのがあったっけな。
774ワット発電中さん [] 2017/03/20(月) 17:00:42.93:dD2bikjl
SDの10Mb/secってかいてあるのは、10Mビット/secのこと? それとも10Mbyte/sec
のこと? Bitだよね。
774ワット発電中さん [sage] 2017/03/20(月) 18:44:31.22:X1bETisQ
何にも知らずに質問してるのか
ggrks
774ワット発電中さん [] 2017/03/20(月) 18:58:54.45:dD2bikjl
紛らわしいなw。調べてもどっちかわからん。w
大体は大きく見せるためにbitだよな。
774ワット発電中さん [] 2017/03/20(月) 19:04:04.19:dD2bikjl
腹立つことにどこのメーカーも10MB/secて書いてあるが、ビットなのかbyteなのか
わからん。メモリーの場合はほぼbit転送速度でワードの場合はワードって書いてある
な。BPSだとビットパーセックだよな。B/secはどっちのケースもある。
774ワット発電中さん [sage] 2017/03/20(月) 19:11:29.88:6GCp19mi
PCの通信規格ならBitにbyteだけど自分も明確に記述されてるのは確約は取れなかったので「速度の基準となる「1倍速」はコンパクトディスクの転送速度である150KB/secを基準としている」から計算してください。
っていうかウィキペディア先生にそこまでは書いてあるんだから古い規格知ってるならそらですぐ計算できるんじゃね?

俺はにわかなのでそんな規格なんか気にしたことないしそもそもFPGAにSDのバスを載せようと努力したこともないからわからん
774ワット発電中さん [] 2017/03/20(月) 19:13:53.13:dD2bikjl
わかった。w B/secはByte/secだな。
これだけスピードがあるのに市販のSPIタイプとかは遅いよな。
774ワット発電中さん [sage] 2017/03/20(月) 19:17:40.64:zARe9p/f
普通は
MB/s = Mega Byte per sec
Mb/s = Mega bit per set
774ワット発電中さん [sage] 2017/03/20(月) 19:21:36.12:6GCp19mi

正規に契約を結ぶか流出した仕様書読めば分かる事だけど、SPIモードはSDカードのスピードクラスを守る必要なんかどこにもないんだけど…?
あれは”対応しているハード上での”最小保障速度だから、お情けというかどうしようもない時用のSPIモードはマジいつでも削除していいおまけなんだけど?
ただ一応対応しておいてね、が今まで残ってるだけ。
774ワット発電中さん [sage] 2017/03/20(月) 19:22:38.96:6GCp19mi

逆だったか、書き込ませてしまってすまん
774ワット発電中さん [] 2017/03/20(月) 21:14:22.77:9YHPnNrM

組込のwifi経由でクラウドに保存とかで

どれくらいの速度がでるんかな?
774ワット発電中さん [sage] 2017/03/21(火) 08:18:48.76:3Bugka6D

環境依存がひどい。
WiFiルータを持つ人がたくさん寄ってくると絶望的。
774ワット発電中さん [sage] 2017/03/21(火) 14:05:00.25:4TZWtwUo
電子レンジの影響も大きい
774ワット発電中さん [sage] 2017/03/21(火) 21:52:38.62:KD6GLobd
なのでもう今の時代5GHz一択なわけよ
774ワット発電中さん [sage] 2017/03/22(水) 17:46:32.77:oZ72JNxe
SDの4ビットモードはsimplifiedの規格書でも
十分実装出来る範囲書いてあるよ、FPGAだったらCRCを
バス毎に取っても楽勝だし。利用に関しては知らん
774ワット発電中さん [sage] 2017/03/23(木) 08:19:03.82:I8QD9oEk
>利用に関しては知らん
それが最大の問題なんだな…
774ワット発電中さん [sage] 2017/03/23(木) 11:07:40.62:OvUzK2F9
なら、弁護士でも雇って調べろ
774ワット発電中さん [] 2017/03/23(木) 12:01:43.15:FeDcBmrH
>260
許可する。
774ワット発電中さん [] 2017/03/23(木) 12:13:11.61:FeDcBmrH
>259
あかん。最近こういう文章をみても「英語かー。萎える」とか思わなくなってきた。
以前なら「日本語で書けよなー」と即座に反感を持てたのだが、なぜか平気で受け
付けてしまう。それにしても国語が侵されてるなーとは思う。なんせ英語だと無料
で読めるものが非常に多いのでついつられて読んでしまう。圧巻はTEDだな。
こういう講演が無料なので、いつの間にか聞きふけっている内に次第に英語に
汚されてしまう。版権の切れた小説などは全部無料だものな。これでは英語漬けに
なってしまうよな。
774ワット発電中さん [] 2017/03/23(木) 13:07:55.31:W9KvY/SH
日本で最も強力なパワーストーンで、
願望が叶えられるならばあなたはどう活かしますか?

ttps://www.youtube.com/watch?v=85Y55BF2U3Y

ttp://6807.teacup.com/noroikingdom/bbs

ttp://noroi.top/noroi.top.product.html
774ワット発電中さん [sage] 2017/03/26(日) 00:10:22.26:I/5jW/LQ

大丈夫。 そのうち日本語もわからなくなるから。
774ワット発電中さん [] 2017/03/26(日) 09:43:36.91:QlyiRB4i
CQのFPGAの電子工作に48MhzからPLLで50Mhzを生成するようになってるが
何のメリットがあるん? はじめから50Mhzつけたらいいだけでは?
774ワット発電中さん [sage] 2017/03/26(日) 09:51:04.84:E5BIrfXO

該当記事を読んでないがUSB付てたらそこに48Mhz必要じゃない?
774ワット発電中さん [sage] 2017/03/26(日) 14:42:26.53:QlyiRB4i
そうか。USBって48Mhzがいるのか。
774ワット発電中さん [sage] 2017/04/02(日) 14:26:13.70:xvsyhxZx
bemicro max10はもう生産…あ、あれ?ページが無くなってる…
774ワット発電中さん [sage] 2017/04/05(水) 18:27:05.52:ThcnpuiC
Cyclone10のボードどこにも売ってない・・・そりゃチップ出荷してないからw
今年後半らしいけど何月頃になりそう?
774ワット発電中さん [sage] 2017/04/05(水) 18:54:30.76:e/eYfLqE
Intel 絶不調だからな
のんびりと、サイド・ビジネスやってる暇無いだろ
774ワット発電中さん [sage] 2017/04/06(木) 19:29:53.68:WLny2lrU

あのタイプの3.3V発振器ですぐに秋月で買えるのが48MHzですよ。
774ワット発電中さん [sage] 2017/04/12(水) 23:16:32.18:eioYBtf7
MAX10でLVDSでクロックを入力するときは、VCCIOは絶対に+2.5Vにしないといけないのか?
774ワット発電中さん [sage] 2017/04/12(水) 23:53:35.61:aHkgflYR
データシートは読んだのか?
774ワット発電中さん [sage] 2017/04/12(水) 23:58:44.40:eioYBtf7
データシートとピンアサインガイドを見たのですが、該当記述が見つけられなくて…(汗
774ワット発電中さん [sage] 2017/04/13(木) 10:24:28.04:MVlod1Bj
ttps://www.altera.co.jp/ja_JP/pdfs/literature/hb/max-10/ug_m10_gpio_j.pdf
ttps://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/hb/max-10/ug_m10_gpio.pdf
ttps://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/hb/max-10/m10_datasheet.pdf

データシートのp.22 Table 25. Differential I/O Standards Specifications for MAX 10 Devices
LVDS VCCIO (V) min 2.375 typ 2.5 max 2.625

これを見て絶対に+2.5Vにしないといけないのかという疑問ならメーカーに聞くしかないと思うけど
メーカーもデータシート通りとしか答えないと思うけど
774ワット発電中さん [sage] 2017/04/14(金) 00:15:48.76:2La2d89w
同じ疑問を持ったやつが検索したら出てきよった
774ワット発電中さん [sage] 2017/04/15(土) 16:14:46.52:D1h8LCwW

減価償却済プロセスで安く作るシリーズだから
20nmの減価償却が終われば回ってくるんじゃない?
774ワット発電中さん [sage] 2017/04/18(火) 22:58:11.92:OSHln/XT
FPGAのFFとか回路構成がノイズで書き換わったことある?
ソフトエラー端子あるけど、運用でエラー見つかったことある?
774ワット発電中さん [sage] 2017/04/18(火) 23:59:26.16:KB76WxCU
瞬断ではある。
774ワット発電中さん [sage] 2017/04/21(金) 09:05:17.07:9pZUrL/a
放射線あててみればw

誰かverilogスレ立ててよ次11だっけ?
774ワット発電中さん [sage] 2017/05/02(火) 01:00:44.06:c0Ypl21I
そりゃ宇宙とかはヒューズになるわけだ
774ワット発電中さん [sage] 2017/05/02(火) 01:48:36.72:Os6mJMSZ
ファミコンとFPGAで遊んでたら面白いことになったんで、のせていいですか?
これクリアするには心の眼が必要です!
CHRROMのタイミングかなあ。

ttps://m.youtube.com/watch?v=30JgvMOJ_2k
774ワット発電中さん [sage] 2017/05/02(火) 08:45:45.84:m1WmXiP7

これFPGAでNESエミュレータ作ったんじゃなくて、ROMの方を作ったってこと?
774ワット発電中さん [sage] 2017/05/02(火) 10:34:51.04:qfH87JBs

そうです。NESはファミコンの本体の機能で、カセットをFPGAにのせてます。将来的にはディスクシステムみたいにファミコンを拡張できるもにする計画です!
774ワット発電中さん [sage] 2017/05/02(火) 14:22:11.75:/H8SQ6ms
前も同じようなヤツがいたな
CPUのバグを指摘されても、結局 見つけられなかったんだろう
そのまま放置になったようだけどな
774ワット発電中さん [sage] 2017/05/02(火) 14:39:23.04:vHA+kqp4
それオレですw
774ワット発電中さん [] 2017/05/04(木) 20:56:53.73:1jsBhMG7
緊急!ワンタイム書き込みしちゃったみたいなんだけど

FPGA を間違ってワンタイム書き込みで書き込した場合って

もう二度と書き込みできなくなるん?
774ワット発電中さん [] 2017/05/04(木) 21:00:51.58:1jsBhMG7
ワンタイム書き込みしてない同じチップのは

書き込みテスト何回もできる

でもワンタイム書き込み間違ってしたチップはなんかい書き込しても

エラーがかかる

もしかしてやっちゃった?
774ワット発電中さん [sage] 2017/05/04(木) 21:03:09.58:UrhMWXwD
FPGAってワンタイム書き込み品とかあるん?
774ワット発電中さん [sage] 2017/05/04(木) 21:09:06.15:Olaf+Di7
昔のActelとかQuickLogicとか。
774ワット発電中さん [sage] 2017/05/04(木) 21:10:17.08:Olaf+Di7
ってか、外付けROMじゃないFPGAって今はほとんどないんじゃない?
774ワット発電中さん [] 2017/05/04(木) 21:10:22.70:1jsBhMG7

MachXO2 には OTP (One Time Programmable、 ワンタイム・プログラマブル) ヒューズがあり

これのこと!
774ワット発電中さん [sage] 2017/05/04(木) 21:10:56.57:yfn7aMJj
聞いた事無いな
単にぶっ壊しただけだろ
774ワット発電中さん [sage] 2017/05/04(木) 21:14:56.14:yfn7aMJj

AlteraのMAX10、 Flash内蔵
774ワット発電中さん [] 2017/05/04(木) 21:14:56.55:1jsBhMG7

One Time Programmable ってのがあるみたい

実験でコンフィグレーションいじってたら間違ってワンタイムをONにしてた
774ワット発電中さん [sage] 2017/05/04(木) 21:17:35.86:UrhMWXwD

まじか
LatticeにはOTPとしても書ける機能がついてるのもあるのか
だったらやっちまったんだろうな...
774ワット発電中さん [] 2017/05/04(木) 21:20:24.35:1jsBhMG7

Latticeは低価格で買い替えが簡単だから別にそんなに負担はないけど

コンフィグレーション設定でこんなに簡単にワンタイム・プログラマブル ヒューズ

がきれちゃうなんて。。。。

ショック!w
774ワット発電中さん [sage] 2017/05/04(木) 21:32:53.12:Olaf+Di7

MAXシリーズって元々CPLDだったのに、何で突然FPGAってことになったんだろうね?

MAX10 FPGA ってわざわざ書くのもいかがわしい。
774ワット発電中さん [sage] 2017/05/04(木) 21:56:48.89:Na+Ce0q+

FPGAとCPLDの差なんてあってないようなもんだろ
774ワット発電中さん [sage] 2017/05/04(木) 22:38:42.92:NcyFTdXK
データシート読めや
774ワット発電中さん [sage] 2017/05/05(金) 00:15:33.99:swbBNMch
〜5万LEだけどこれCPLDですからと言い張るw
774ワット発電中さん [sage] 2017/05/05(金) 08:28:45.23:5hFoMHNA
MAXシリーズは内部構造的に、もうFPGAと言っていいんじゃないかな
お客さんがFPGAの名は知っててもCPLDは知らないって人も多いし、
違いの説明も面倒だから「小規模のFPGA」って説明してる
774ワット発電中さん [sage] 2017/05/05(金) 08:57:48.60:/zYr3X/5

超CPLDとか超々CPLDって呼んであげて。
774ワット発電中さん [sage] 2017/05/05(金) 12:05:04.37:e6W/4E2f
Alteraの場合、以前はLUT形式のでも全部PLDって呼んでたのが
徐々にFPGAって呼ぶようになったはず
MAXは2あたりからLUT形式になったから、その流れでしょ
774ワット発電中さん [sage] 2017/05/05(金) 12:12:07.31:kfVQxczs
ナムナム
774ワット発電中さん [sage] 2017/05/12(金) 09:52:29.39:lWSqm4Lb
fpgaとdacで200MHzの正弦波作れますかね?
774ワット発電中さん [sage] 2017/05/12(金) 12:36:59.24:uDH+Jin8

作れるけど、DSSチップ使ったほうが簡単そう
774ワット発電中さん [sage] 2017/05/12(金) 12:44:27.61:+u5NTU3I

どの位の歪率を要求するかだな。思いっきり歪んでパルスみたいになっても良い(というかパルスなんだけど)で良ければ簡単
774ワット発電中さん [sage] 2017/05/12(金) 13:14:40.96:D9FzdtyO

スペアナがないとどうしようもない。
774ワット発電中さん [sage] 2017/05/12(金) 15:35:02.29:oygXXfRn
周波数もスイープしたりしたいんだけどFPGAだと難しいそうですね。専用ICの方が簡単そうだ。
774ワット発電中さん [sage] 2017/05/12(金) 23:15:53.36:ACKMCW46
なぜ専用ICというものがあるのか考えれば自ずと答えは出るはずだが
774ワット発電中さん [sage] 2017/05/13(土) 09:31:05.13:66fdZZWZ

ttp://arismusen.com/FPGA/
のdds アナデバのチップと同じ動作をします
774ワット発電中さん [] 2017/05/13(土) 21:42:22.34:3DfGusQG
XO2のFTDIチップつかった書き込み機
自作って情報すくなくね?

webで情報あげておいたほうがよい?
774ワット発電中さん [sage] 2017/05/13(土) 21:56:22.52:v/JOsu2K
情報も何も、公式にそのものズバリの回路図があるだろ
774ワット発電中さん [sage] 2017/05/15(月) 08:26:36.68:T6bmkHNa

今見ると少し記述が怪しいところが。。。
ご愛嬌ということで。
774ワット発電中さん [sage] 2017/05/17(水) 11:35:47.74:g+6w/rWw
すみません。何かお判りになる方いましたら教えて頂けませんか。

XILINX ISE14.7のIsimをコマンドプロンプトから実行したいのですが
「動作を停止しました云々」と表示され実行できません。

ISE GUIからは起動できましたのでIsim.log内の実行コマンドを実行しているのですが駄目です。

Windows8.1 64bit

よろしくお願いします。
774ワット発電中さん [sage] 2017/05/17(水) 19:35:35.57:mjzXKpaK

ザイリンクスの代理店に聞けやボケ
317 [sage] 2017/05/18(木) 10:31:21.42:iihvi5gb

無事解決しました。ありがとうございました。
774ワット発電中さん [sage] 2017/05/18(木) 22:18:32.16:UUCeBcPE

たまには自分を誉めてあげるんだよ
774ワット発電中さん [sage] 2017/05/19(金) 11:40:43.24:unT6lTap
cyclone10 LPは安いだけで性能はたいしたこと無いのね
774ワット発電中さん [sage] 2017/05/19(金) 12:24:47.46:0j92VuNE
> 消費電力が最適化された 60nm プロセスを採用した CycloneR 10 LP FPGA は・・・

CycloneV=28nmだったよな、 コスト最優先でプロセス後戻りしたのか?
774ワット発電中さん [sage] 2017/05/19(金) 18:35:55.83:DPsVDgaY
もしかしたらFinFETかも
でもMAX10は55nmだからいらないよね
774ワット発電中さん [sage] 2017/05/19(金) 20:12:39.11:aDkk39w1
65nmとか45nmが普通だと思うんだけどなんでFPGAって半端なプロセスルール使うの?
余分なコストかからないんだろうか。
774ワット発電中さん [sage] 2017/05/20(土) 22:48:35.97:APRKkquZ
cyclone10はGX:20nmとLP:60nmでプロセス違うのか

いやcyclone10 LPだけ(他はだいたい普通のプロセスサイズ)
MAX10はXORフラッシュ混載だから例外
CPUからチップセット用に転用した時にリーク電流対策強化した?から
Intel65nmは他社換算すると約60nmになるとかか???
774ワット発電中さん [sage] 2017/05/21(日) 00:46:50.19:z2Ha+3Yz
XORフラッシュとはいったい……うごごごご
774ワット発電中さん [sage] 2017/05/21(日) 16:32:36.40:BTDsVvJU
stratix10 = 14nm
arria10 = 20nm
cyclone10 GX = 20nm LP = 60nm
max10 = 55nm
まーメリハリきいてるよね
Intelになって全部最新プロセスになるなんてことはなかったw
774ワット発電中さん [sage] 2017/05/21(日) 18:06:25.30:pMbfp+D7
そもそもmax10はintelですらない
774ワット発電中さん [] 2017/05/21(日) 20:52:52.77:yMBOW1w6
やっとlinuxでlatticeの開発環境が安定動作して

書き込めた

ウインドウズでしかできてなかったから悩んでたけど問題解決
774ワット発電中さん [] 2017/05/21(日) 21:25:06.42:pFH1Xp70

それはどういう意味で?
774ワット発電中さん [sage] 2017/05/22(月) 01:26:43.11:z+vhjwQu
仕事中にmax10で遊んでる奴は(以下略)
774ワット発電中さん [sage] 2017/05/22(月) 07:52:36.64:dfyogk23

max10のページには、
"MAX 10 FPGAs are built on TSMC’s 55 nm embedded NOR flash technology, enabling instant-on functionality."
と書いてある
774ワット発電中さん [sage] 2017/05/22(月) 08:26:21.77:GMac738U
TSMC使ってるところなんていくらでもあるでしょ
Intelは完全自社工場なんだっけ?
774ワット発電中さん [sage] 2017/05/22(月) 08:35:29.73:P2z/UNHg

「大坂城建てたのだあれ?」
「豊臣秀吉!」
「ぶー。大工さん」

トンチならトンチだと言えよ。マジかと思ったぜ。
natu [sage] 2017/05/24(水) 21:05:23.81:GnecMSP4
教えてください。
BOOT_SELECTピン(以下BSピン)は、H/Lどちらでも関係ないのでしょうか?
マルツで買ったMAX10-FB基板でJ1(BSピンのH/L切替)を変えても挙動が変わりません。どちらも同じ動作をします
自分が考えている正解
BSピン=L:CFM0でコンフィグ→動作開始
BSピン=H:コンフィグしてないので停止状態
壊れてしまったのかな?
774ワット発電中さん [sage] 2017/05/24(水) 21:17:50.04:/GN+KiCk
データシートは見ないの?
774ワット発電中さん [sage] 2017/06/01(木) 13:00:28.17:tdmV9qfE
ttp://monoist.atmarkit.co.jp/mn/articles/1705/25/news040.html
これどうしてFPGAだと小型化ができるの?
774ワット発電中さん [] 2017/06/01(木) 13:32:13.99:rSvGm1ed
小型化の話はASICにかかってるのではなく、SoCとか
マイコンに既存の専用チップを追加する構成にすると
と言うのが抜けてる感じの話じゃないかなぁ
774ワット発電中さん [sage] 2017/06/01(木) 13:37:30.90:z4fIkzsb
>専用設計となるASICではコストが高く、ステレオカメラのパッケージに収まる基板サイズにもならなかったという。
意味わかんねえ
774ワット発電中さん [sage] 2017/06/01(木) 14:05:17.87:GkAcBMIe
単に、デンソーが何時も使ってるASICベンダーでは
ゲート数都合ででかいパッケージしか選べなかった
若しくはプロセスの都合で集積度が低くて複数チップになったって話かも
774ワット発電中さん [sage] 2017/06/01(木) 14:18:28.27:34qTWUM9
XC9572XLのコンフィグしようと思ったら、Platform cable USB2 が壊れてた。
秋月にHS2とかHS3とか安いのがあったので購入してみたが、XC95非対応だった。
Platform USB買い直しかなぁ、あれ4万ほどするからなぁ・・・
774ワット発電中さん [sage] 2017/06/01(木) 14:25:04.41:2FkCm+Eq
4万じゃちょっとなぁ
ググれば、FT232 でやってる例も見つかる
それでも真似れば
774ワット発電中さん [sage] 2017/06/01(木) 14:47:44.26:34qTWUM9

FT2232のやつは、最近のiMPAXCTで使えないとかあるみたいでした↓
ttp://hirasaka001.blogspot.jp/2015/05/fpgaalteraxilinxxilinx-fpgare-program.html

FT2232も入手したのでやってはみますが・・・ハァ
774ワット発電中さん [sage] 2017/06/01(木) 15:17:07.05:z4fIkzsb
パラレルケーブルなら1万円くらいだったような。自作出来るし。
774ワット発電中さん [sage] 2017/06/01(木) 21:35:54.59:+VvbdIZF

どういう症状か分からんけど、自分で修理したら?
774ワット発電中さん [sage] 2017/06/02(金) 08:43:03.85:/vpVr+/p

これは?
ttp://tulip-house.ddo.jp/DIGITAL/PROG_CPLD/index.html
341=343 [sage] 2017/06/02(金) 14:11:26.64:8RX+y9ss

パソコン側にパラレルが無くて。。っていまさらパラレルでもないだろうし。


USBを認識しない(unknown device)なので、ez-usb側のファーム消失だとは思うけど、
その前に何度か認識しないときがあったので接触不良だと思ってます。


svfファイルに変換するのが面倒だったので、このスクリプトいけるかもしれないです。
(件のHS3がdigilent.adeptを使えばXC95も焼けるそうなので、svfファイルに変換するのがかなり面倒なので諦めてましたが
行けそうな気がします)
774ワット発電中さん [sage] 2017/06/02(金) 17:29:26.08:WuWhHha+

それを言ったら今さらXC95でもなかろうて。
341=343 [sage] 2017/06/02(金) 18:20:52.48:tVCA/Rox

まあいまさらXC95ですよね。
チップ単価が安いのと大量に作った基板の保守もあるので・・
774ワット発電中さん [sage] 2017/06/02(金) 20:08:02.34:D2QLmT9y
無印XC95の頃、PlatformUSBもパラレルポートもぶっ壊したなw
両者ともVIO(3.3V)じゃなくてVCC5V突っ込んで破壊

あれこれ模索するよりヤフオクで買っちゃうのが良いと思う
774ワット発電中さん [sage] 2017/06/02(金) 20:57:09.68:WuWhHha+

5V系は仕方ないけどね。
774ワット発電中さん [] 2017/06/03(土) 03:16:27.70:PYKcxZVV

ez-usbって、ROMに書いてあるのは、デバイスIDだけ。
ファーム本体はパソコンからダウンロードするから、元々入ってない。
古いデバイスを相手にするなら、なんだかんだでパラレルも選択肢。
リアパネルには出てなくても、チップを搭載はしてて、
コネクタを繋げば使えるマザーがまだ見つかると思う。
774ワット発電中さん [sage] 2017/06/05(月) 04:11:20.63:9Vb624bK

EZ-USBはI2C EEPROMからファームウェアのダウンロードが出来るよ
ファームウェア書き直したら直るんじゃないかな

不明なデバイスになるのはUSB Type-Bの接触不良じゃないかと言う
気もするけど
774ワット発電中さん [sage] 2017/06/05(月) 08:37:31.32:R4AGmRM8
XC95は秋月のFT245RLで書き込みツール作った記憶があるなあ

XC95自体あまり使い道は無いんだけど
774ワット発電中さん [] 2017/06/05(月) 21:13:13.23:l2gwnxix

使い道どころか、電気食い過ぎ。
774ワット発電中さん [sage] 2017/06/06(火) 01:22:49.16:Uj/UWlei

5VのトレラントにXC95(XL)は必要だしなぁ・・
774ワット発電中さん [sage] 2017/06/06(火) 06:36:33.81:BXg7zx6m

5Vトレラントなものが減ってきた。最近は、諦めて電圧変換用ICを使っています。

以前は、ispMACH4000シリーズも使っていたのですが。
774ワット発電中さん [sage] 2017/06/06(火) 13:39:29.61:5XedhJ2S
最近は、5Vトレラント必要なら74CB3T系とか噛ませりゃイイや…
と思う様になってきた
774ワット発電中さん [sage] 2017/06/06(火) 17:19:42.19:epQUhYtt
最近は5Vトレラント必要なら、デジトラ噛ませりゃイイや…と思う様になってきた
774ワット発電中さん [sage] 2017/06/06(火) 21:42:16.53:i3D6Rlmh
フォトカプラ噛ませる裏技
774ワット発電中さん [sage] 2017/06/06(火) 22:24:59.50:w+rNeGEM
まだフォトカプラ使ってるんですね。
774ワット発電中さん [sage] 2017/06/06(火) 22:27:48.62:/Mp8AumC
まだ の意味が不明
774ワット発電中さん [sage] 2017/06/06(火) 22:29:15.18:GsfnXFpZ
フォトカブラは安価だから、普通に現役
774ワット発電中さん [] 2017/06/07(水) 00:27:52.20:ep9wTvTI

フォトカプラを代替できるデバイスをご存じなんですか、是非教えてください。
774ワット発電中さん [] 2017/06/07(水) 00:49:08.61:lKGdZR+C
最近Zynq-7000の評価ボードでFPGAを勉強し始めたのですが、わからないことがあるので質問させてください。

reg [8*128-1:0] str = "Hello world\0";
みたいな感じでリテラルの文字列をレジスタ(?)に入れられる構文か何かはないでしょうか?
Verilogです。

説明下手ですみません。
774ワット発電中さん [sage] 2017/06/07(水) 07:06:29.85:DRDHF/kl
フォトカプラでないとダメなところもある。そういう観点抜きにが発言をしているのだとしたらみっともない。
774ワット発電中さん [sage] 2017/06/07(水) 08:36:33.93:outr1DgB

アナデバとアバゴが出してる。
(今はブロードコムか)
774ワット発電中さん [sage] 2017/06/07(水) 11:38:43.04:l8nqyzj5

シリコンラボとTIモナ
774ワット発電中さん [sage] 2017/06/07(水) 11:45:27.01:l8nqyzj5

それでダメだった?
774ワット発電中さん [sage] 2017/06/07(水) 11:49:07.51:l8nqyzj5

フォトカプラの代替部品で大丈夫な所がほとんど。そういう部品を知らずにが発言をしているのだとしたらみっともない。
774ワット発電中さん [sage] 2017/06/07(水) 12:35:44.17:8pGyoZeO

うろおぼえだけど¥0がだめでないかな
文字コードを直接入れればいい
774ワット発電中さん [] 2017/06/07(水) 12:56:25.98:lKGdZR+C
>>371
デバッグプリント的な使い方で文字列をシリアルで吐き出そうとしていて、
文字コード直接はいまのところ避けたいと思ってます。

こういうソフト屋っぽい手法がそもそもFPGAとマッチしてないんでしょうか。
774ワット発電中さん [sage] 2017/06/07(水) 13:19:40.21:1bGt6m42
そんなものに貴重なFF食わせるなんて!
774ワット発電中さん [sage] 2017/06/07(水) 16:31:39.66:l8nqyzj5

文法的におかしくはないんだけど。
どんなエラーが出てるの?
774ワット発電中さん [sage] 2017/06/07(水) 18:06:35.23:DRDHF/kl
>フォトカプラでないとダメなところもある。そういう観点抜きにが発言をしているのだとしたらみっともない。
>フォトカプラの代替部品で大丈夫な所がほとんど。そういう部品を知らずにが発言をしているのだとしたらみっともない。

いまどきデジタルアイソレータの存在を知っていることが自慢になると思ってるなんてことはないですよね?
774ワット発電中さん [sage] 2017/06/07(水) 18:10:45.83:DRDHF/kl

そういう流儀があることはわかってるつもりなんだけど、すごくソフト的なアプローチだと思ってしまいます。

空きピンに特定パターンのパルスを出力してトレースすることが多いな…
774ワット発電中さん [sage] 2017/06/07(水) 18:16:41.12:yXgTyIK+

知識が増えてよかったね❗
774ワット発電中さん [sage] 2017/06/07(水) 18:22:08.44:DRDHF/kl

>知識が増えてよかったね
どうやらそのようです。自慢になると思ってる人がいるらしいことは勉強になりました。

もうずいぶん昔に広く普及したものなのに。
774ワット発電中さん [] 2017/06/07(水) 19:31:20.06:lKGdZR+C


エラーは出ないんですが、シリアルで送った時に文字化けしているので想定通りに入っていないのかと。
シリアルのエコーは動作するし、リテラルの文字列を一文字にするとその文字は送れているんですが、
2文字以上にすると全部化けます。

書いていて思ったのですが、質問したところ以外に問題点がある可能性があるので見直してみます。
774ワット発電中さん [sage] 2017/06/07(水) 19:37:07.40:QbMGIj/s

前詰めじゃなくて下詰めだかんね。
774ワット発電中さん [sage] 2017/06/07(水) 19:47:43.46:8pGyoZeO
ほかにも問題抱えているみたいだけど特殊文字について。
ModelSimで確認したけどやっぱり ¥0 はnull文字にならないので文字コード使わないとだめね。
ダイレクトに文字コード書くのはいやというのはわかるのでparameter定義して連接で結合するとか…
774ワット発電中さん [] 2017/06/07(水) 20:12:13.85:lKGdZR+C

もしよかったら、詳しく教えてもらえませんか。
レジスタの添え字の小さいほうから順に1byteずつ文字が入っていくイメージだったのですが。
774ワット発電中さん [sage] 2017/06/07(水) 20:21:47.23:QbMGIj/s

str="Hello world" なら
str[7:0]= "d"
str[15:8]= "l"
str[23:16]= "r"
:
余ったら上のビットはゼロ。

"\0" は 8'h00 のはずだけど、modelsim が変なのは知らん。

ってかシミュレーションしてみたら?
774ワット発電中さん [sage] 2017/06/07(水) 20:49:28.45:8pGyoZeO
いや、ModelSimで試したってだけで、
verilogとして¥0はnullとして使えないはずなのです。
元質問の本筋から外れているけど
774ワット発電中さん [sage] 2017/06/07(水) 21:14:09.46:QbMGIj/s

「使えないはず」ってのは、何を根拠に?

IEEE1364-2001 の 2.6.3 Special characters in strings に、
\ddd A character specified in 1—3 octal digits (0 ≦ d ≦ 7)
ってあるけど?

iVerilog も通るけれど。
774ワット発電中さん [] 2017/06/07(水) 21:15:45.49:QbMGIj/s
文字化けした。
"A character specified in 1-3 octal digits"
774ワット発電中さん [] 2017/06/07(水) 21:26:00.88:lKGdZR+C

それは知りませんでした。ありがとうございます。
シミュレーションはまだうまく使えないんですが、練習してみます。
あとこちらで試してる限り”\0”は8h0になってます。
環境によって変わるとしたらあまり使うべきではないのかもしれませんが。
774ワット発電中さん [sage] 2017/06/07(水) 21:32:20.86:QbMGIj/s
が言うように本当に Modelsim が正しく動かないなら問題だからメンターに確認したほうがいいよ。まあそんなバグはないと思うけど。
774ワット発電中さん [sage] 2017/06/07(水) 21:36:52.95:8pGyoZeO

ご指摘の通りでした。あやふやな記憶で発言してすみませんでした。
774ワット発電中さん [sage] 2017/06/07(水) 21:39:25.61:QbMGIj/s

それはいいんだけど、Modelsim が動かないことが気になる。
389 [sage] 2017/06/07(水) 21:51:10.86:QIsgvNLK
移動しちゃったんで手元に環境ないけど以下のような感じだったはずです。
ModelSimPE10.3c windows (ちょっと古いのはご勘弁を・・・)

reg [39:0] chr_test = "ABC\0";

initial begin
$display("%s",chr_test);
$display("%h",chr_test);
$finish;
end

で、出力が以下の通り。
ABC
0000414243
\ddd が 3digit固定と勘違いしていたので"ABC\000"というのも試したけど同じでした。
適当な通常文字のコードにしたときは問題なく文字列表示も16進もLSB側に入っていました。
774ワット発電中さん [sage] 2017/06/07(水) 22:18:45.16:QbMGIj/s
まじか。明日試してみるわ。
389 [sage] 2017/06/07(水) 22:41:39.86:QIsgvNLK
ModelSim IntelStarterEdition (10.5b) を入れて試してみました。

〜〜
`timescale 1ns/1ns

module null_test;

reg [39:0] chr_test = "ABC\0";

initial begin
$display("%s", chr_test);
$display("%h", chr_test);
$stop;
end

endmodule
〜〜

結果が以下の通りでした。
# ABC
# 0000414243
# ** Note: $stop : W:/work/null/null_test.v(10)

"AB\0C"とかしてみたら16進で 0000004142 となりました。
やっぱりNull文字周りの解釈がおかしいっぽいです。
774ワット発電中さん [sage] 2017/06/08(木) 08:37:20.45:xBGlK6Ks

言ってもただのトランスじゃねぇかよ...
774ワット発電中さん [sage] 2017/06/08(木) 08:57:12.61:Dpets46B
まあいいんだよ
極端な思考をする人はそっとしとけば
774ワット発電中さん [sage] 2017/06/08(木) 12:58:16.90:7t5O0SwR
>ただのトランス
???
774ワット発電中さん [sage] 2017/06/08(木) 19:40:04.73:u55kEAF/
ただのLEDとフォトTr
774ワット発電中さん [] 2017/06/08(木) 22:12:49.19:7t5O0SwR
「ただのトランス」って何なんです?
774ワット発電中さん [] 2017/06/08(木) 22:22:32.26:ThunJHBx
トランス以下でもトランス以上でもありません。
774ワット発電中さん [] 2017/06/08(木) 22:23:48.50:YK3/qfP4
っても負荷に大容量のLがぶら下がるのはどうも。
774ワット発電中さん [sage] 2017/06/08(木) 22:29:27.99:ZKoyodNb

実質無料
774ワット発電中さん [sage] 2017/06/08(木) 23:37:19.65:7t5O0SwR
さんへ
にアンカーを付けて
「言ってもただのトランスじゃねぇかよ」はどういう意味で言っておられますか?
774ワット発電中さん [sage] 2017/06/09(金) 07:11:09.01:LwJyC4Sq

フォトカプラの代替品があるのに知らねぇのかよとドヤ顔で言ってるから何のことかと思いきや昔からあるパルストランスにちょっと毛が生えたような奴じゃねぇかよ、ってことかと
774ワット発電中さん [sage] 2017/06/09(金) 09:18:28.51:RMdlGLxP

具体的にどの部品でしょうか?
774ワット発電中さん [sage] 2017/06/09(金) 19:52:03.05:1VZCWW+U
代替品とか言い出した奴がまずどの部品か提示してない件について
774ワット発電中さん [] 2017/06/09(金) 20:26:14.59:bx0olMRs
パルストランスだと変調かけなければいけなかったり、事によっては符号化とか面倒な事が多そう。
774ワット発電中さん [sage] 2017/06/09(金) 20:41:41.26:sKqRjh1o
さんの推測はまあいいとして、さんご自身の説明を聞きたいところです。


デジタルアイソレータって言葉や、代表的なメーカーは出てきてます。
774ワット発電中さん [] 2017/06/10(土) 02:55:19.29:iQD2GPde
デジタルアイソレーションの方法としては
トランス結合、磁気結合、光結合、コンデンサ結合の4種類かな?
774ワット発電中さん [sage] 2017/06/10(土) 05:50:50.00:ArApMPlS

リレーってのもある。変位による結合
774ワット発電中さん [] 2017/06/10(土) 06:04:46.38:TJEloq4W
コンデンサで何をどうアイソレートするんだ?
774ワット発電中さん [sage] 2017/06/10(土) 06:12:45.86:9/hbltcw
5Vトレラントの話だったのに、いつのまにかアイソレーションの話へ
774ワット発電中さん [sage] 2017/06/10(土) 06:46:02.49:8/weRB7R

えっ、知らないの!?
774ワット発電中さん [sage] 2017/06/10(土) 06:50:03.61:8/weRB7R

トランスと磁気って同じ。光はPC。
PCを除けば二種類じゃね?
774ワット発電中さん [sage] 2017/06/10(土) 07:02:51.33:SBNs3wom
言葉通りのデジタル+アイソレーションならリレーもありかもしれないけれど、
いわゆるデジタルアイソレータという部品には含まれないと思う。
DLPみたいな感じで、MEMSのリレーが出てきたらわからないけど。

トランス結合とは違う磁気結合のものがあるんだと、今、初めて知った。
デメリットも含めて、割とこれがフォトカプラ代替に一番近いかも。


話題の変遷は
5Vトレラント→フォトカプラ受け→デジタルアイソレータ
かな。

アイソレーションなしで良い用途にデジタルアイソレータは勿体ないですね。高価だし電流も喰うし。
774ワット発電中さん [sage] 2017/06/10(土) 07:07:02.59:SBNs3wom

非常に広義で考えるならともかく、

「絶縁できるトランス」とは「コイルが2つ以上あるもの」を指す

ということであれば、磁気結合はトランス結合ではないものもあるようです。
774ワット発電中さん [sage] 2017/06/10(土) 07:32:30.31:DwFPsn4u

トランスに毛が生えたようなやつだな
ttp://www.analog.com/media/jp/technical-documentation/data-sheets/ADuM260N-261N-262N-263N_jp.pdf
774ワット発電中さん [sage] 2017/06/10(土) 07:39:58.69:SBNs3wom

ぼーぼーに毛が生えてますね!

はそのタイプしか知らなかったのかもしれませんね。
実際はどうだったのか、の話を聞きたいところです。
774ワット発電中さん [sage] 2017/06/10(土) 07:50:51.55:DwFPsn4u
はい
774ワット発電中さん [sage] 2017/06/10(土) 07:59:05.88:rI9LNF0k
電波でも出来そうだけど、光と同じか。
774ワット発電中さん [sage] 2017/06/10(土) 08:55:13.96:8/weRB7R

> 「絶縁できるトランス」とは「コイルが2つ以上あるもの」を指す
意味不明
その2つのコイルを磁気結合したのがトランス、コイルを2つ置けばトランスになる訳ではない。

> トランス結合ではないもの
トランス結合?
勝手に新語を作るなってw
774ワット発電中さん [sage] 2017/06/10(土) 09:25:51.02:DwFPsn4u

たぶん、「うんうん、そうだね」って言っとくのが吉な人だと思う
774ワット発電中さん [sage] 2017/06/10(土) 11:55:33.96:DMaMSYPx
一点だけ
トランス結合というのは新語ではない
広くかどうかは知らんが使われている
774ワット発電中さん [] 2017/06/10(土) 12:23:27.58:SBNs3wom

トランス結合は言葉としては使いますよ。

>意味不明
>その2つのコイルを磁気結合したのがトランス、コイルを2つ置けばトランスになる訳ではない。
本当に意味不明なんですか?
話を混乱させるために、当然の前提として存在することをないことにしてグダグダ言ってるだけなんじゃないのですか?

俺が
>「絶縁できるトランス」とは「コイルが2つ以上あるもの」
と言ったわけですが、互いに結合もせずに何キロメートルも離れた場所に置いた2つのコイルを想定しうるとでも思っているのですか?
これ、デジタルアイソレータの文脈での話ですよ? 結合が前提になってることぐらいわかりませんか?


で、トランスの2つのコイルの磁気結合によらない、磁気結合タイプのデジタルアイソレータがあるんだな、というのが の主旨ですよ。

>トランスと磁気って同じ
って書いている人がいましたので。

絶縁できないトランスならコイルが1巻のものもありますが、絶縁できるトランスなら、結合はしているけれど直流的につながっていない2巻以上のコイルが必要です。
コイルがあってもそれが1巻だけなら、「トランスで絶縁している」とは言わないと思うのです。
774ワット発電中さん [sage] 2017/06/10(土) 13:54:55.94:8/weRB7R

めんどうな奴だな。
なら、そのトランス結合を説明してみ。

あるいはトランス結合と磁気結合の、それぞれの具体的なデバイスをここに挙げてみ。
774ワット発電中さん [sage] 2017/06/10(土) 14:01:00.89:SBNs3wom

名前を尋ねるならまず自らから名乗るべき。
説明を求めるなら、まず自らが説明をするべきですよ。
774ワット発電中さん [sage] 2017/06/10(土) 14:08:27.99:DwFPsn4u

774ワット発電中さん [sage] 2017/06/10(土) 14:24:15.85:SBNs3wom
そのトランスではない磁気結合だと、入力側に電源が要らないのがいいですね。
たいていのデジタルアイソレータは入力側にも電源が要ります。
アナログデバイセズのDC-DC内蔵型を使えば「外部から見れば入力側に電源は要らない」にはなりますが。

コイルに流す電流は数mAは必要っぽいですが、
フォトカプラを使っていたところでスピードを上げたいというような用途にはいい感じに使えそう。
774ワット発電中さん [sage] 2017/06/10(土) 19:24:26.79:8/weRB7R

めんどうな奴。そのまま返すよ。

自からトランス結合だ磁気結合だと言い出したんだろ?
なら、自らそれを証明するのが道理。

まぁ、出来ないだろうな。それぞれ説明出来るデバイスなんて無いんだからw

負けを認められない奴かw
774ワット発電中さん [] 2017/06/10(土) 20:33:05.90:iQD2GPde
トランス結合とは違う磁気結合ってのはICがあるぞ。大昔だが使ったことがある。
一寸いまおもいだせないが、、、かなり高速だったと記憶してる。100Mbpsくらい
でつかっていたような気がする。
774ワット発電中さん [sage] 2017/06/10(土) 20:39:12.54:DwFPsn4u
トランスの要件として巻線(コイル)が使われている必要はないからな
磁気的に結合していてエネルギーを伝達する機能を有していればトランスかと
774ワット発電中さん [] 2017/06/10(土) 20:45:31.81:iQD2GPde
メーカー名を忘れてしまったなー。カプラよりも小型で高速だったから便利になった
もんだと思っていたんだが、その会社は潰れたのだろうな。今探しても出てこない。
774ワット発電中さん [sage] 2017/06/10(土) 20:47:19.41:DwFPsn4u
まあもういろんなメーカーが出してるだろ
ttp://www.linear-tech.co.jp/product/LTM2893
774ワット発電中さん [] 2017/06/10(土) 21:06:34.23:iQD2GPde
GMRの原理を利用したのがあるな
 Magnetoresistive insulators for contactless signal transmission between two electrically
isolated parts of electrical circuits were first demonstrated in 1997 as an alternative to opto-isolators.
A Wheatstone bridge of four identical GMR devices is insensitive to a uniform magnetic field and
reacts only when the field directions are antiparallel in the neighboring arms of the bridge.
Such devices were reported in 2003 and may be used as rectifiers with a linear frequency response.[30]

IL260 110Mbps 絶縁6Kvrms だな。
774ワット発電中さん [sage] 2017/06/10(土) 21:24:58.08:SBNs3wom

リニアテクノロジーはトランスによる結合だったかと思います。


むう。をみて、便利そうな製品があるのだと気づけたのに。(GMR)
DigikeyでもRSでも入手可能なようです。
774ワット発電中さん [sage] 2017/06/10(土) 21:26:06.07:SBNs3wom

磁気的結合のないトランスもありますよ。
774ワット発電中さん [sage] 2017/06/10(土) 22:05:44.21:DwFPsn4u

ほう
それは一般的ですか?
ぜひ具体例が知りたいですね
774ワット発電中さん [sage] 2017/06/10(土) 22:21:51.40:SBNs3wom

どうぞ。
ttps://www.tamura-ss.co.jp/jp/products/electronic_components/download/piezoelectric_ceramic/pdf/C-9018JE-ceramics.pdf
774ワット発電中さん [] 2017/06/10(土) 22:31:58.70:SBNs3wom


>トランスの要件として巻線(コイル)が使われている必要はないからな
>磁気的に結合していてエネルギーを伝達する機能を有していればトランスかと

ここでの定義によれば、
・トランスは磁気的に結合して、エネルギーを伝達するものである。
・トランスの要件としてコイルが使われている必要はない。
ということです。

トランスの狭義と広義の間のどこかの閾値には、こういう境界もあるのだろうと思ったのですが、
「コイルを使わずに磁気的に結合して、エネルギーを伝達するもの」はあるのですか?

圧電素子で永久磁石を動かして、リードリレーを動かせば情報の伝達はできそうですが、
エネルギーの伝達は難しいかな。
774ワット発電中さん [] 2017/06/10(土) 22:33:11.18:pOcRkrJk

具体的に説明できないんですね。
ま、その程度の事なんでしょうけど。
774ワット発電中さん [sage] 2017/06/10(土) 22:34:41.47:SBNs3wom
FPGAの話題とは離れてますので、俺からの質問はで終わりにします。
774ワット発電中さん [sage] 2017/06/10(土) 23:28:26.98:8/weRB7R

おやおや、圧電トランスでお茶を濁し、負けを認めず逃走かいw
774ワット発電中さん [sage] 2017/06/10(土) 23:35:21.58:SBNs3wom
本意ではないですが、質問は受けますよ。
なんか人が書いていることを読まないんですね。俺が書いていないことまで見えちゃうのはまずいですよ。

>圧電トランスでお茶を濁し

濁してません。
774ワット発電中さん [sage] 2017/06/10(土) 23:47:45.27:8/weRB7R

774ワット発電中さん [] 2017/06/11(日) 05:47:55.49:hpVbjNTT
これはマル秘情報だが、3.3V系(というか2.5V系がメインの)のチップに
5Vを加えてる箇所がかなりあって、それでずっとデバッグしていたのだが全く異常
なしで使えていた。納品間際で気が付いて修正して納品したのだが、なんの問題もない。
 実は最大定格3.6Vなんて言っていても本当は5Vでも使えるんじゃないか?
応答スピードなんかには影響がでるかもしれないが、大半の用途では5VでもおK。
ではなかろうか、なんて思ってみた。
774ワット発電中さん [sage] 2017/06/11(日) 07:52:23.27:nIr1VyJX
型式がわからんので、確定した話にはならないのですが、
VCCIO=2.5Vでも3.3Vトレラントな製品であれば、上向きクランプダイオードが入っていないものかもしれません。
この場合、入力耐圧は酸化膜のサイズ(だったっけ)で決まってしまうため、高密度な製品ほど耐圧が低い傾向があるそうです。
で、この耐圧自体が「正確に何Vで壊れる」というようには作れないのでいくらかのマージンがあります。
5VがOKだったのもそのせいじゃないでしょうか。

それを大半の用途ではOKというには抵抗があります。
774ワット発電中さん [sage] 2017/06/11(日) 10:48:53.37:dhmsO5bA

5Vで動くのと、5Vを保証するのとは雲泥の差。
そんな使われ方されて、その車やら手術台に乗りたいと思うの?
774ワット発電中さん [sage] 2017/06/11(日) 11:19:42.31:9h+E58JH
これで、糞のようなアイソレータの話が無くなるのなら
それは、良いい事じゃないか
774ワット発電中さん [sage] 2017/06/11(日) 12:04:55.43:dhmsO5bA

蒸し返したいの?
774ワット発電中さん [sage] 2017/06/11(日) 12:07:29.14:9h+E58JH
泣くなよ、腐れチョン
774ワット発電中さん [sage] 2017/06/11(日) 12:15:36.05:C6Bbzmer
圧電トランス入れればいいじゃない。
774ワット発電中さん [sage] 2017/06/11(日) 12:49:55.23:nIr1VyJX
絶縁が要らない5Vまでのレベル変換だけならそれ用のICを追加すれば事足りることが殆どかと思います。
今の時代、5Vトレラントなプログラマブルロジックを探して選択することは、メリットが小さいことが多いんじゃないですかね。

アイソレータの話題は、そんなもの誰でも知ってるだろう、と思っていたら案外知らなさそうな人がいたり、
俺も昨日まで知らなかったタイプのものがあったりで、なかなか興味深いものでした。
774ワット発電中さん [sage] 2017/06/11(日) 13:00:14.86:dhmsO5bA
もう一つ付け加えれば、トランス結合とは真空管アンプの増幅段間結合の事。
全く関係ない話w
774ワット発電中さん [sage] 2017/06/11(日) 13:32:37.07:nIr1VyJX

あなたが、別のところで同じことを言って、陰で笑われないようにするために。

ttp://www.analog.com/jp/education/landing-pages/003/faqs_digital_isolators.html#3-3
トランスベースの伝達方法は通常、トランス結合に最適なAC信号(Ethernetなど)を伴うアプリケーションに使用されます。

ttp://newscenter-jp.ti.com/2006-01-25-TI
高い信頼性を同時に提供するとともに、既存のトランス結合型アイソレータ製品と比較して

ttp://release.nikkei.co.jp/detail.cfm?relID=430956&lindID=1
電気的に絶縁することによってグランド・ループを切断します。このトランス結合された絶縁境界は、最大2,500VRMS

ttp://cds.linear.com/docs/jp/datasheet/j42701fc.pdf
一般的なイーサネット・データは2本または4本の銅のより対線(通称CAT-5ケーブル)で接続し、グランド・ループを避けるために両端をトランス結合にします。
774ワット発電中さん [sage] 2017/06/11(日) 13:40:48.70:gXD/AdCb

発熱してんじゃない?
2年後とかに壊れるパターンだね。
774ワット発電中さん [sage] 2017/06/11(日) 13:42:53.88:nIr1VyJX

さんは出荷前に修正されたようです。
774ワット発電中さん [sage] 2017/06/11(日) 13:52:55.77:dRrZ5wln

さすがにそれはやばすぎ
774ワット発電中さん [] 2017/06/11(日) 14:00:08.51:m9NyFKNx

出荷前にそんな大きな変更して大丈夫なもんかねぇ
うちだったら許されないわ
774ワット発電中さん [sage] 2017/06/11(日) 14:06:50.01:nIr1VyJX

製品の性質で変わるでしょうね。違う世界がある、ってことで納得できるかと。
774ワット発電中さん [sage] 2017/06/11(日) 14:22:08.64:dhmsO5bA

トランス結合でググって、俺は正しいとな?
負けず嫌いだねぇw
774ワット発電中さん [sage] 2017/06/11(日) 16:58:02.82:NX/t1Peg

変更せずに出荷するほうが恐いだろ。
774ワット発電中さん [] 2017/06/11(日) 17:47:18.73:m9NyFKNx

ずっとテストしてて問題なかった物を出荷直前で変更するって
どういう意味か理解してる?
774ワット発電中さん [sage] 2017/06/11(日) 18:02:34.03:nIr1VyJX
話が噛み合っていません。

は、出荷直前にトラブルを発見して、修正して出荷した

は、出荷直前に修正して出荷、は自分の仕事場ではありえない、と言った。
この場合、の仕事場で取る選択肢は、
「出荷直前にトラブルを発見して修正を行ったら、出荷を延期する」
であると考えられます。

はそうは考えなかったのでしょうね。
「出荷直前に修正して出荷することが許されないのだとしたら、出荷直前にトラブルを見つけたら、修正せずに出荷するのだろうか」
と解釈した上で「そんなの怖いだろう」と書いたようです。

でも、これはの仕事場で取る選択肢ではなでしょうね…
774ワット発電中さん [sage] 2017/06/11(日) 18:19:56.23:NX/t1Peg
の会社では自分のところでテストしてて問題なければ出荷するんだな、きっと。
774ワット発電中さん [] 2017/06/11(日) 19:00:08.29:CDTJl9Ae
そもそも、そんな初歩的なミスをする奴が設計してる時点で駄目だわ
774ワット発電中さん [sage] 2017/06/11(日) 19:13:21.53:nIr1VyJX

それは別の話。生産性のない話ですね。
774ワット発電中さん [sage] 2017/06/11(日) 19:24:14.16:dRrZ5wln
まあ、問題点として考えられるのは以下の2つ

1. 納品先にちゃんと報告して了解を得た上で出荷直前に修正したのか
2. 修正による弊害確認はちゃんとされているのか
774ワット発電中さん [] 2017/06/11(日) 19:46:26.51:hpVbjNTT
5Vトレラントに変換するばあい、LVC245が便利なんだが、電源3.3Vで5V系
をドライブするのは問題ないが、じゃあ5V電源が使えるんか使えないのかが微妙だ
よな。実際には5Vでも問題ないが、データシートは微妙な書き方がしてある。
774ワット発電中さん [sage] 2017/06/11(日) 19:58:10.49:mO56z2Po

信頼性試験やらないんだ。
774ワット発電中さん [sage] 2017/06/11(日) 20:28:15.66:dRrZ5wln

の内容を見ると、3.3Vのデバイスの入力ピンに5V系の信号を入れていた
ということだろう
そして、実際には動いてしまい発見できていなかった
3.3Vのデバイスの入力段が壊れていないのはおそらく入力段に通常入っている
クランプダイオード(寄生ダイオード含む)が3.3Vラインにクランプしてくれており、
それにより壊れずに動いていたという感じだろう
ただ実際にはストレスがかかっており設計的には確実にNG

で対策としてLVC245を5V系デバイスの出力と3.3V系デバイスの間に挿入
することを考えていると理解したが、LVC245を3.3Vで動かすのであれば
LVC245は5.5V入力トレランとなので、この使い方であれば問題ないだろう
774ワット発電中さん [] 2017/06/11(日) 20:34:12.24:hpVbjNTT
>469
そういう甘い使い方ではないよ。出力が5Vトレラントでも電源を5Vにしていいとは
書いてないからね。
774ワット発電中さん [sage] 2017/06/11(日) 20:44:37.84:dRrZ5wln

まさか3.3Vデバイスを5V電源で使ってたってこと?
だったらもはや唖然とするレベル
壊れてないのは奇跡に等しいのと長期的に確実に故障を招く

あとはに書いた内容について対応したかどうかだな
もしダマで修正して納品したのなら、3.3Vデバイスを5Vで使ってた
設計的なポカ以上の大失態であり、最も信頼を損ねる行為を行ったことになる
774ワット発電中さん [sage] 2017/06/11(日) 20:45:36.96:dRrZ5wln

ちなみに出力が5Vトレラントって何?
774ワット発電中さん [sage] 2017/06/11(日) 20:49:20.50:dhmsO5bA
> 出力が5Vトレラント

なんかね、5Vトレラントの意味を正しく理解していなさそうなんだが?
774ワット発電中さん [] 2017/06/11(日) 21:10:32.12:CDTJl9Ae

全然別じゃ無い。入力レベルさえ合わせられない奴の設計で
他に問題が無いと考えるのは頭弱すぎ。
製品のテストよりも先に、設計者をテストして弾いて置くべき
774ワット発電中さん [sage] 2017/06/11(日) 21:20:47.11:nIr1VyJX

>電源3.3Vで5V系をドライブするのは問題ないが、
これがOKなのは、受ける5V系デバイスが
・TTL互換の入力レベルになっている
・VIHが、VCC×0.6のような、比較的珍しいものであるかという条件がつきますね。
774ワット発電中さん [sage] 2017/06/11(日) 21:33:44.55:nIr1VyJX
C-MOSの出力について5Vトレラントというケースはなくはありません。

ttps://toshiba.semicon-storage.com/info/docget.jsp?did=12713
LCXとVCXは出力トレラント機能あり

ttps://www.xilinx.com/support/documentation/application_notes/xapp311.pdf
A 5V tolerant output structure in its most basic form includes
a switch which allows the n-well of the PMOS output driver to follow the output pin voltage
upwards.

だれかが、「5Vトレラント出力でググって」って言いそうですが、ググってわかることぐらいは
わかる方がいいですね。
774ワット発電中さん [sage] 2017/06/11(日) 21:46:27.76:ZLPzQusp

出力の方は、5Vでプルアップされても壊れない
とでも理解しとけばよろし
774ワット発電中さん [sage] 2017/06/11(日) 21:46:58.92:nIr1VyJX
のと同じような話かな。
ttp://www.onsemi.jp/PowerSolutions/document/74LVC541A-D.PDF

With 5 V−Tolerant Inputs and Outputs

コンプリメンタリ出力で、ハイインピーダンスになっている状態で、5Vがかかっても大丈夫です。
774ワット発電中さん [sage] 2017/06/11(日) 21:48:20.79:ZLPzQusp
LCX245とかだと、入出力の方向切替とかメンドイから
74CB3T3245みたいなバススイッチ系にしとけと
774ワット発電中さん [sage] 2017/06/11(日) 22:11:55.90:XGjuXPZ8
最近初めてFPGA搭載基板の設計をやってるんだけど、カップリングコンデンサの匙加減が分からん

EP4CE40F29ってFPGAでVCCIOを全部3.3Vで使おうとしてるんだが、
PDNツールで見積もったら全系統合わせても9個しか置かないとか出てきた
VCCINTには10uFって結構デカイ容量のも使おうとしてるし…

昔の他人が作った接続図見ると0.1uFのを大量に置くようなのばっかりなんだが、
デバイスの特性が変わったのか設計トレンドが変わったのか、自分が検討違いのパラメーター入れてるのか…
774ワット発電中さん [sage] 2017/06/11(日) 22:19:35.22:10H4xNyL
他人の過去品でも実績あるならバラまくな。
ヨタかんばん方式では、そういう安定供給を目的としたコンデンサは根こそぎ削るんだろうけど。
774ワット発電中さん [sage] 2017/06/11(日) 22:53:49.38:C6Bbzmer

3.3V出力でも2.5Vは余裕で出るだろ?
774ワット発電中さん [sage] 2017/06/11(日) 23:02:04.37:nIr1VyJX

受ける5V系デバイスが
・TTL互換の入力レベルになっている

に該当。
774ワット発電中さん [sage] 2017/06/11(日) 23:13:30.33:R5+INwJW

そういう場合って、結局のところパスコン減らすことによるコストメリットが
どのくらいあって、その要求してるのが誰かってことによる
普通は、FPGA使ってる基板でパスコン減らしてコスト削減とか考えるのは
あんまり意味ないし、後で追加するコスト考えると、(せいぜい)
多めに設計しといて、実装するかどうかは後から決められるようにするくらいかと
774ワット発電中さん [sage] 2017/06/11(日) 23:14:23.00:nIr1VyJX
どのレベルの設計者だろう。

(1)ICのVIH、VILを満たす必要がある。
(2)ICの入力素子のH/Lが切り替わるレベルならOKだと思ってる。
(3)C-MOSの閾値はなんだかんだと理屈をこねても要するにVDDとVSSの中点だ。

(1)以外だとアウトな仕事場が多いのではないかと思います。
でも(2)、(3)を支持する人だって、74HCU04を反転アンプとして使ったら電源電流がたくさん流れることはご存じだったり。
774ワット発電中さん [sage] 2017/06/11(日) 23:53:13.06:ST1pzPV8

OKじゃない時が知りたいのだが。
774ワット発電中さん [sage] 2017/06/12(月) 00:44:01.70:xGpeT5mK
5V-CMOSのVIHは3.5Vだぞ

エビデンスだバリデーションだとか言うなら
3.5Vは満たさないとダメだぞ
774ワット発電中さん [] 2017/06/12(月) 02:26:13.35:uCHvu1kA
>471
デバイスの型名が書いてあるんだからさー。

LVC245はRecommendied Operating Condition Vcc 3.6V(MAX)
だがAbsolute Maximaum ratings VCC 6.5V
になってるから唖然とするほどでもない。w

Vi 5.5V
Vo Vcc
だから5V系でプルアップされている信号に接続する場合には電源を5Vにするのは
アリだろ。(推奨ではないがな。W)

FPGA(3.3V) −−LVC245−−−5V系(10Kプルアップ)
5VのVIHは記述なしだが、恐らく2Vくらいだろだから余裕だし、、
そんなにやばいってほどでもないだろ。
やっぱり5Vの石に変えた方がいいか?
774ワット発電中さん [sage] 2017/06/12(月) 07:27:57.90:19OQrHh9

>OKじゃない時が知りたいのだが。

5VのC-MOSデバイスには入力タイプで大きくわけて2種類があります
(1)TTL互換入力
(2)C-MOS入力

(1)は、3.3V C-MOSで直接ドライブできます。
(2)は、VIH min(Hであることが保証される最低入力電圧)が VCC×0.7 のものが多く、
この場合はさんが書いているように、3.5V以上の電圧でないといけません。
中にはVIHが VCC×0.6 のものもあり、これなら3V以上ですのでドライブOKかなって感じです。
774ワット発電中さん [sage] 2017/06/12(月) 07:40:59.94:19OQrHh9

C-MOSデバイスのVIHは、次の2つの条件を満たすものに設定されているはずです。
(1)入力のNch FETをONにできる。
(2)入力のPch FETをOFFにできる。

74LVC245は、3.3VだとVIHは2Vとなっています。単純に言えば、電源に5Vを使った場合でも、入力に2Vを与えれば、(1)は成立しそうです。
でも、Pch FETのゲートソースに -3V がかかります。

低電圧で使えるC-MOSのPchは低いゲート電圧でONしますので、ちょっと怖いかな。

2電源ためのブリッジ用デバイスを使う方が安心です。
774ワット発電中さん [sage] 2017/06/12(月) 07:51:02.01:19OQrHh9
に補足です。
ONにできる、OFFにできる、は完全にONにできる、完全にOFFにできる、の意味ではありません。
774ワット発電中さん [sage] 2017/06/12(月) 08:01:15.41:zRpk7WYj

いやないわ
Absolute Maximum Ratingをなんだと思っているのか
774ワット発電中さん [sage] 2017/06/12(月) 08:45:25.87:cKV/U+1D
みんな趣味の人なんだね
774ワット発電中さん [] 2017/06/12(月) 11:10:27.92:uCHvu1kA
>Absolute Maximum Ratingをなんだと思っているのか
読んで字のごとし。w
でも6.3Vだろ。5Vなら1.3Vも余裕がある。無茶苦茶余裕があるということだな。

>でも、Pch FETのゲートソースに -3V がかかります。
これは出力の話だろうか?
LVCの電源を5Vにするのだから、出力のPch側にー3Vなんてかからないようなきがする。

先ずLVCの構造がどうなってるのか? 出力はバイポーラなのかCMOSなのか?
多分CMOSのはず。
出力がON(0V)の時
 PCHのゲートにー3VかかるとONできないからありえないな。

出力がOFF(5V)の時(つまり10プルアップを経由して5Vが出力にかかる)
 このときPchソースゲート間は−5Vになるのでは?

>低電圧で使えるC-MOSのPchは低いゲート電圧でONしますので、ちょっと怖いかな。
これは出力の直前のVIH、VILがどうなってるかだよね。電源が5VならCMOSだから0,5vだと思う。
だから全く問題ないと思う。
774ワット発電中さん [] 2017/06/12(月) 11:17:56.93:uCHvu1kA
アッ、ごめん。入力の話だったか。入力が誤動作しやすいってことかな。ありうるね。ちょっと見当してみる。
774ワット発電中さん [sage] 2017/06/12(月) 11:47:51.98:ObzWQCEf
普通に74VHCT使えばいいだけでは?
774ワット発電中さん [sage] 2017/06/12(月) 12:22:34.25:d5KxSNcY

> VCCIOを全部3.3Vで
> 全系統合わせても9個しか置かないとか出てきた
VCCIOだけならありえるかも。
でも保険で、もっと増やしたほうがいいと思う。

> VCCINTには10uFって結構デカイ容量のも使おうとしてるし…
コアにはそれは普通
774ワット発電中さん [sage] 2017/06/12(月) 12:25:35.91:d5KxSNcY

> 無茶苦茶余裕があるということだな。
あんたの辞書にはディレーティングって言葉は無いのか?
774ワット発電中さん [] 2017/06/12(月) 12:59:00.00:uCHvu1kA
>普通に74VHCT使えばいいだけでは?

張り替えるのは、次回もし壊れたら修理のときだな。
1.直ちに交換
2.1年以内に壊れたら、無償交換。
3.1年以上たって壊れたら、修理費を貰ってVHCTに交換

連続稼働運転していて1か月以上動いているので、多分3を選択するのが最も合理的だと
思う。
774ワット発電中さん [sage] 2017/06/12(月) 18:33:22.90:19OQrHh9
絶対最大定格と一口に言っても、
・それを一瞬でも超えると壊れてもしらないよ。(つまりそこまでは壊れない)
・一瞬といったって、1μ秒が一瞬だったり1m秒が一瞬だったり、いろいろで。
・絶対最大定格までは、ちゃんと動作するもの。
・絶対最大定格は、壊れる限界でしかなくて、それよりずっと下で性能が怪しくなるもの。
・絶対最大定格は、壊れる限界でしかなくて、それよりずっと下でも動作しなくなるもの。
・実は超えて使っても、使い方次第で全然OKなもの。
などといろいろですね。
774ワット発電中さん [sage] 2017/06/12(月) 20:51:43.86:cKV/U+1D

推奨動作電圧範囲〜絶対最大定格の間は正常な動作が保証されないので、普通の設計者なら推奨動作電圧範囲を越えないように設計する
774ワット発電中さん [sage] 2017/06/12(月) 21:15:42.66:zRpk7WYj

正しくは
推奨動作電圧範囲上限〜絶対最大定格の間は正常な動作が保証されないので
だな
それ以降は同意
774ワット発電中さん [sage] 2017/06/12(月) 21:31:58.58:19OQrHh9

推奨動作電圧範囲の規定があるものはそうなりますね。
774ワット発電中さん [sage] 2017/06/12(月) 21:48:59.32:ndlGrXt6

お得意の検索で、絶対最大定格をググれば?
774ワット発電中さん [sage] 2017/06/12(月) 21:54:11.90:zRpk7WYj
ID:19OQrHh9 みたいな人って実社会では、で結局あなたはどうしたいの?
って言われる人だよね
774ワット発電中さん [sage] 2017/06/12(月) 21:58:04.32:cKV/U+1D

反証可能性を担保するため動作電圧範囲の規定がないデバイスの例を提示してください
774ワット発電中さん [sage] 2017/06/12(月) 21:58:14.28:AiM+xQTU
メーカーに泣きついても自己責任でどうぞご自由にお使いくださいと
言われる。
774ワット発電中さん [sage] 2017/06/12(月) 23:32:44.35:19OQrHh9
の考え方が苦手な人なのでしょうか。
どの数値も超えたらだめだ、と頑なになっちゃう人がいます。


たとえばトランジスタの多くにVCEに関して推奨動作電圧範囲の規定はありませんが、VCEの絶対最大定格の規定はありますね。
レギュレータも推奨動作電圧範囲の規定がないことが多いように思います。
774ワット発電中さん [sage] 2017/06/12(月) 23:43:50.19:SyGinvuz

あんたの頭には「品質保証」って言葉は無いの?

なんかねぇ〜、話を聞いてると15年前の台湾PCベンダーのエンジニアと会話しているみたいだよ。
(PCパーツ買って組立ると、壊れまくりの時代)w
774ワット発電中さん [] 2017/06/13(火) 05:07:35.10:IJrAbgAe
雑に「品質補償」とか言う言葉で根拠もなしに、考えることもなしに安心していると、混在した品質の
扱いに関しては品質低下するんではないか?
 グローバル化すると必然的に様々な品質が入ってくるので、無根拠に拒絶するのは無根拠に受け入れる
ことと同じで、ようするに品質の管理能力がないということ。それがこういう結果として如実に現れたのだろう。w
774ワット発電中さん [sage] 2017/06/13(火) 05:47:04.29:RZ05uCyP
はぁ?
日米半導体協定から30年、1ドル79円の円高ショックから20年。
グローバル化とか、今更そんな昔の話を持ち出して、何が言いたいん?
774ワット発電中さん [sage] 2017/06/13(火) 06:49:36.28:pJJDaZ/+

>あんたの頭には「品質保証」って言葉は無いの?
具体的にのどれを指して言っています?


>どの数値も超えたらだめだ、と頑なになっちゃう人がいます。
これでしょうか。
品質保証と矛盾しない絶対最大定格の項目もあるんですよ。
絶対最大定格そのものがちょっと曖昧なのが問題だと思うのですが。

さんは「すべての部品のすべての絶対最大定格は一瞬たりともどの数値も超えたらだめだ」と考えておられますか?
774ワット発電中さん [sage] 2017/06/13(火) 07:50:28.26:kVQ5g0JJ

>「すべての部品のすべての絶対最大定格は一瞬たりともどの数値も超えたらだめだ」と考えておられますか?
じゃないが答えは「はい」
設計者は公開されていないデバイスの「実力」をアテにしてはならない
774ワット発電中さん [sage] 2017/06/13(火) 08:14:10.20:pJJDaZ/+


(1)Microchip社は、公開しているドキュメントにおいて、3.3Vで動作するデバイスの信号ピンを
5V で駆動する方法の一つとして、直列抵抗と、IC内部のクランプ抵抗を使う方法を示しています。

(2)一方でMicrochip社のマイコンでクランプダイオードを持つ入力ピンの絶対最大定格は、最大で VDD+0.3V のような規定になっています。

(3)そのすぐ近くに、クランプ電流の絶対最大定格として±20mA とあります。

では↓これはどのように解釈されますか?
(A) この場合(1)を実施すれば入力電圧はVDD+クランプダイオードのVFとなり、(2)の規定を上回ります。矛盾はありませんか?
(B) クランプ電流が流れる時点で(2)の規定を超えています。(3)の規定は無意味ですか?


絶対最大定格といえども、各項目には隠れた条件があります。それぞれを単独で考えるとますいですよ。
774ワット発電中さん [] 2017/06/13(火) 08:17:56.78:pJJDaZ/+
に書き忘れました。
Microchip社を例にしましたが、探せば割とみつかります。
774ワット発電中さん [sage] 2017/06/13(火) 08:27:48.13:RZ05uCyP

> 設計者は公開されていないデバイスの「実力」をアテにしてはならない

だねぇ
評価したデバイスが、たまたま出来が良かったかもしれない。
評価した環境が、たまたま好条件に振れてたかもしれない。
生産工場の変更により、特性が変わるかもしれない。

そんなアテにならないので設計したら…
774ワット発電中さん [sage] 2017/06/13(火) 08:37:39.98:y63WHK5R
> 探せば割とみつかります。

探して見つかったら俺の勝ち論法、それが誤情報であってもw
774ワット発電中さん [sage] 2017/06/13(火) 09:04:57.36:FEei4kN7
とにかくググってググってググりまくって自分の正当性が崩れないように必死な人だな
実社会でもそんな調子なんだろうな
おつかれさま
ID:pJJDaZ/+
774ワット発電中さん [sage] 2017/06/13(火) 22:17:53.57:kVQ5g0JJ

俺なら、その(1)と(2)の矛盾をまずMicrochipに問い合わせて、

(1)を保証するというのなら(2)の絶対最大定格をVDD+マイコン内部の
クランプダイオードのVFの値に書き変えさせる
それができないなら(1)を取り下げさせる

という行動をとる
774ワット発電中さん [sage] 2017/06/13(火) 22:21:22.03:pJJDaZ/+
ここでの話って勝ち負けなのかな。
「例外がある」という提示なので、もし勝ち負けに拘るなら、提示した例外が間違いであることを証明すればいいと思う。

勝ち負けじゃなくて技術の話をすればいいのに。

クランプダイオードによる5V入力対応と絶対最大定格と推奨電圧の関係はメーカーで思想が違っていて面白いところですよ。
774ワット発電中さん [sage] 2017/06/13(火) 22:33:47.13:pJJDaZ/+

それもひとつの考え方ですね。実際それに近い表示のメーカーもあります。

ところが、そのVFがばらついたり変化したりで一定ではないものだから、低インピーダンスの電圧源で駆動したときに
壊れないことを保証する電圧としての最大電圧ではなくなってきます。

多くのメーカーで、上向きダイオードを備えた入力の絶対最大定格がVCC+0.3Vとか、VCC+0.5Vのように
ちょっとVFより低めに設定されているのは、低インピーダンスの電圧源で駆動してもどかーんと電流が
流れないことを保証するためです。

・絶対最大定格は絶対である ← ふふふ。
・絶対最大定格には条件により例外的解釈も必要だ ← (俺) ← ありえない( )
・絶対最大定格には矛盾がある場合がある ←
774ワット発電中さん [sage] 2017/06/13(火) 23:12:25.95:kVQ5g0JJ

当然VDD+VFの規定はVFのばらつきまで考慮して設定してもらう

とまあそう書いた訳だが、つまるところ、そこまでしてギリギリを
攻めるような設計は実際にはせず、あくまで動作電圧範囲内で
動作するよう設計し、絶対最大定格はデバイスメーカー側の
マージンとして取っておく

あなたが何と言おうがこれが私の設計ポリシーなので
これ以上言うことはありません
774ワット発電中さん [sage] 2017/06/13(火) 23:25:38.37:bQm6C3op
Virtex-5が載ってる基板のタンタルコンデンサの置換を考えてるんだけど、どうしようかと迷ってる
現状VCCINTに220uF*4(推奨値は330uF*2)、VCCAUXは33uF*2(推奨値とおり)だけど、
単純に同容量程度のMLCCに置き換えで良いのか…?
一般に容量は若干小さくできると思ってるんだけど、UG203のTable 2-11の注記の
"2. ESR must be within the specified range."って記述が気掛かりだ
現状基板の電源ノイズ測定はできる状態じゃないし、参ったわ…
774ワット発電中さん [sage] 2017/06/14(水) 04:40:41.04:e3XzNfIg

> "2. ESR must be within the specified range."って記述が気掛かり
俺なら守る。
タンタルはセラコンよりESRが高い分、ある種のスナバになるんじゃなかったっけ(うろ覚え)

それにしてもセラコンにしたら、高くなるんじゃね?
774ワット発電中さん [sage] 2017/06/14(水) 07:08:54.23:aEv78dTC
タンタルが嫌われる(避けられる)理由はありますが、最近のものはずいぶん改良されているはずです。

セラコンも
値段が高くなる、ESRが低くなりすぎ、規定の静電容量が必要な場合は大幅なマージンを見ないといけない、
適当に選んだり実装に配慮がたりないと短絡する、
といった心配もありますし。
774ワット発電中さん [sage] 2017/06/14(水) 08:27:07.41:tP8w9dyL

オマエ、イラネ
774ワット発電中さん [sage] 2017/06/14(水) 09:07:37.07:jeyTiJut
とにかく何か言いたいだけのやつ
774ワット発電中さん [sage] 2017/06/14(水) 19:43:54.54:WF7YI+xi
隙あらば自分語り
523 [sage] 2017/06/14(水) 20:18:54.02:3dNAhEPD
,
タンタルの廃止は絶対条件でして、、、
ESR低すぎると発振するんだっけ?(電源モジュール直後に置いたときの話?)
774ワット発電中さん [sage] 2017/06/14(水) 20:29:15.25:oYW46qvg

タンタル禁止かぁ

予算はあるの?あるならAW屋さんにプレーン共振シミュを依頼。
無いなら自分でモデル作ってLTspiceでタンタルと比較。
でどぉ?エイヤで基板作ってしまう手もあるけど。
774ワット発電中さん [sage] 2017/06/14(水) 21:58:10.71:BtopfjxV
タンタルは死に様が悪い  でよくし死ぬる
774ワット発電中さん [sage] 2017/06/14(水) 22:31:57.40:zx9y8f5v
友人に飲ませて殺害した女子高生がいたよな。
774ワット発電中さん [] 2017/06/14(水) 22:38:31.46:aEv78dTC
タリウム…


タンタルの廃止は絶対条件でして、、、

ああ。やっぱりルールですか。自分で良しあしの判断をすることが許されていないわけですね。
いくら改良されても、紛争鉱物のレッテルは外れそうにないみたいですし。
774ワット発電中さん [sage] 2017/06/15(木) 00:19:55.43:ElF0FDX2
自分は誰よりもよく知っていないといけないという強迫観念
774ワット発電中さん [sage] 2017/06/15(木) 08:36:53.80:ElF0FDX2

無害な金属じゃないのタンタルって
774ワット発電中さん [sage] 2017/06/15(木) 20:54:54.15:VBVS4kaV

産出国がね・・・
紛争鉱物ってやつだ。
774ワット発電中さん [sage] 2017/06/16(金) 09:47:07.35:77SSjncR
そんなことをいったら石油の方が酷いぞ
774ワット発電中さん [] 2017/06/16(金) 11:42:57.11:SyQoyGSF
おい、ありそうでない、オーディオ帯域の6桁周波数カウンターつくらないか?

入力オーディオ信号を淫売太ででデジタル化したあと16〜8192分周してその周期を100MHz位の
クロックで計測して、マイコンで周波数を計算する構成が。

昔はそんなカウンターICゴロゴロあったように思うのだが見つからない。
24ビットの同期カウンターで SPI出力 FPGAなら簡単なんだろ?

たのむぜぃ
774ワット発電中さん [sage] 2017/06/16(金) 22:26:16.43:+UKqTJz3
国が内紛状態で、反政府武装組織がタンタルを資金源にしてて、って聞くと、コンゴの人大変だ、って思う。
でもコンゴの政府軍がウガンダとかルワンダと交戦したりルワンダが反政府軍を支援したりと憂鬱な展開。
とりあえずタンタルコンデンサを沢山使ったら、それがサードパーティが勝手に作ったカラシニコフに化けるのだと早合点しておく。
774ワット発電中さん [sage] 2017/06/16(金) 23:55:50.38:mMAH038I
タンタル禁止はそういう理由ではないとエスパーしてみる
774ワット発電中さん [] 2017/06/17(土) 00:22:28.36:danNP1gW
単たる禁止は単たるの故障モードが短絡で結果発火するから
774ワット発電中さん [sage] 2017/06/17(土) 00:37:36.69:+yOXGfrP
だよね
それを紛争鉱物とか、なに言っちゃてくれてるの
774ワット発電中さん [sage] 2017/06/17(土) 00:44:53.19:+mJ5rS9F
火噴くからキライ
774ワット発電中さん [sage] 2017/06/17(土) 06:50:33.82:TN42e85n
あるるんだって異臭発煙しますやん。
萌えたのはまだ見たことないけど。
774ワット発電中さん [sage] 2017/06/17(土) 08:05:16.16:Xd/y5u4t
社内ルールにおいてタンタル禁止の理由が短絡故障なのだとしたら、大容量セラコンも要注意。
機械的ストレスに気を付けて、かつ、短絡故障をおこしにくいように作られたセラコンを選んでリスクを下げるわけだけど、
たまに「セラコンはタンタルみたいに短絡しない(キリッ」って感じの人がいて怖い。
774ワット発電中さん [sage] 2017/06/17(土) 09:39:58.54:t+NF/SPe
コンデンサ自体トラブルを引き起こしやすいから大容量を期待していない
774ワット発電中さん [sage] 2017/06/17(土) 11:24:57.99:Xd/y5u4t

>コンデンサ自体トラブルを引き起こしやすいから大容量を期待していない
でもこの話の発端は 330uF×2 のタンタルをセラコンで置き換える、って話ですよ。
774ワット発電中さん [sage] 2017/06/17(土) 11:55:11.97:gMiGWGa9
デリバリで、痛い目にあったんだろ
良くある話だ

この手のトラブルは、規模の小さい業者ほどダメージがデカイからな
どうにもならない
例え5円の部品でも、入手できなきゃ製品そのものが止まる
774ワット発電中さん [sage] 2017/06/17(土) 12:19:59.77:Xd/y5u4t
>デリバリで、痛い目にあったんだろ
ああ。
俺はMaximを使うって言ったら購買に睨まれたことが。
774ワット発電中さん [sage] 2017/06/17(土) 15:43:00.62:QE6H2QjC

> たまに「セラコンはタンタルみたいに短絡しない(キリッ」って感じの人がいて怖い。

たまに「絶対最大定格まで使っていい(キリッ」って感じの人がいて怖い。
774ワット発電中さん [sage] 2017/06/17(土) 16:10:12.97:Xd/y5u4t

ははは。もしかして上向きダイオードでクランプされた3.3Vロジックで5V入力を受ける際に、
絶対最大定格や、推奨電圧範囲を超えることについて根に持ってるの?
774ワット発電中さん [sage] 2017/06/17(土) 16:26:18.87:Xd/y5u4t
タンタルとセラミックに関しては、俺も実は「セラミックは短絡故障しない」と20年ぐらい前まではなんとなくそんなふうに思っていました。
会社の設計指針でもタンタルは信号ラインでしか使ってはダメとか、直列に**の抵抗を入れろ、とかあるのに、セラミックに間しては
そういうことは書かれてなかったし。

タンタルの短絡故障のもうひとつの問題点は発煙と臭いだろうなあ。
セラミックが短絡したとき、セラミックコンデンサ自体は静かに発熱する。これで助かるかどうかは電源次第だけど。
774ワット発電中さん [sage] 2017/06/17(土) 17:17:24.45:QFmq01tb
確実にきちんと死んでくれるのは良い部品。
774ワット発電中さん [sage] 2017/06/17(土) 21:52:21.54:MLlNBGO0

試作で10個欲しいって言ったら営業さんから「サンプルで送りますから」って言われたよ。マキシム。
774ワット発電中さん [] 2017/06/17(土) 22:02:36.06:/iKAn/CS
セラミックが短絡したことなんてないが、、、、
774ワット発電中さん [sage] 2017/06/17(土) 22:10:54.72:Xd/y5u4t

そうなんよ。サンプル無料って昔から気前が良かったんだけどな。

いざ製造ってときになると、最低発注個数がとか、製造のスケジュールでそのチップの次回供給は来年の○月だとか
みたいなトラブルに購買さんは悩まされてたらしい。(MAX232のようなポピュラーなのは別だけど)

今はそういう話は聞かなくなったように思う。いろいろ改善されたのかな。
774ワット発電中さん [sage] 2017/06/17(土) 23:45:01.36:f0Uq6t0E
今や、「購買・資材部門は不要、そいつらに払う給料で初回製造時に
将来生産分の部品一括購入しとけば、デリバリーの心配は無用」って
極論なんだろうか?(税務処理の点も含めて)
774ワット発電中さん [sage] 2017/06/18(日) 03:29:29.48:TNQMup5a

いや、それ以前にMaximを選ぶところがアホなんじゃないか?
774ワット発電中さん [sage] 2017/06/18(日) 09:54:37.88:iWebSL2c

購買・資材部門は不要とは思わないけれど、一括購入で解決できると思うことはときどきある。
保管の問題はあるんだが。
774ワット発電中さん [sage] 2017/06/18(日) 10:16:01.13:gAVNB7Vk
派遣導入も購買・資材部門なんだよね
774ワット発電中さん [] 2017/06/18(日) 11:30:06.81:YWGudGN4
電機部品はやすいからな。でも2度と使わないような部品を大量に買うのはばかげてる
から、そういうところは設計者でないと勘が働かない。
774ワット発電中さん [sage] 2017/06/18(日) 19:34:46.68:NAfuQ54Q
昔は、1ロット〇個使いで年〇ロットくらいって言っといたら
適当に数まとめて発注・在庫してくれる部品商社もあったんだけど
今の時代そういうのは無理なのかな?
774ワット発電中さん [sage] 2017/06/18(日) 20:05:54.98:AxfCFwgU
どこもかしこも
倉庫持つの嫌
倉庫に入ってる部品の品質を管理するの嫌
だから

在庫持つの嫌
時間指定で納入しておくれ
ってな訳で
774ワット発電中さん [sage] 2017/06/18(日) 20:52:21.44:TNQMup5a
なんの話をしてんだか。

経験則で言えば、部品種数で8割は他の基板と共通化出来る。
774ワット発電中さん [sage] 2017/06/18(日) 21:26:27.95:iWebSL2c
何の話をしてるのかわからずに書いたらこうなった
774ワット発電中さん [sage] 2017/06/19(月) 16:32:48.00:ydIIXDxQ
随分とスレ違いな流れだけど

ICをストックしときたいが
・保管・管理の手間かけたくない
・ストック品が高く売れるなら売って小遣い稼ぎしたい
そういうときは、Chip1Stop ・・・ じゃなかったっけ?
774ワット発電中さん [sage] 2017/06/19(月) 17:08:13.51:+j8Ns2zV
TD4ってXC9536に余裕で入るらしい

作ってみようかな
774ワット発電中さん [sage] 2017/06/19(月) 18:58:16.85:HK4HLesq

トラ技の付録のMAX2でも6割くらいで収まったと思う
774ワット発電中さん [sage] 2017/06/19(月) 20:08:28.13:Y9b+eTLB
・XC9536に余裕で入るらしい

・MAX2でも6割くらいで収まった

全然話が一致していないw
774ワット発電中さん [sage] 2017/06/24(土) 08:39:36.56:FV3lVHzg
なんでタンタルなんだろ
ニチコンの電解コンデンサ四隅においとけ
774ワット発電中さん [sage] 2017/06/24(土) 08:43:57.53:FV3lVHzg

ああ、6端子ぐらいに0,1uFいれておけばOKとかそんな感じだから
安さと波長の関係
774ワット発電中さん [sage] 2017/06/24(土) 08:50:48.42:FV3lVHzg
入力変換
ttp://kosakai.world.coocan.jp/change_3_3V_5V.html
774ワット発電中さん [sage] 2017/06/25(日) 21:59:12.57:hTpwieK4
MITOU高すぎじゃね?
774ワット発電中さん [sage] 2017/06/25(日) 22:35:43.36:67346vZP

そんなに売れる製品でもないし、一回買えばずっと使えるし、しょ〜がないべ。
基板製作直後にザザッと全信号を見れるから、重宝してる。
774ワット発電中さん [sage] 2017/06/25(日) 23:09:26.92:hTpwieK4

レスどうもです
確かに一度買えばずっと使えますね
USB-JTAGのインターフェースつきの奴買ったほうがいいのかな…
どのUSB-JTAGでも基本的に使える感じなんでしょうか?
774ワット発電中さん [sage] 2017/06/27(火) 00:35:34.30:Cr/cpEkw
トラ技の付録とか、もう10年以上前なんだな。
またそれなりの規模のCPLD/FPGAが付録になってくれないかな
774ワット発電中さん [sage] 2017/06/27(火) 09:24:01.94:xd+g5oyv
Vitex Ultrascale+ 基板付き 300万円!

でも LED チカチカしか出来ない基板クオリティー
774ワット発電中さん [sage] 2017/06/27(火) 12:17:28.13:9HXUUd/7
メモリをどどんと4Gとか8G積んでるなら仮想通貨マイニングとかやる人出そうだけどw
774ワット発電中さん [sage] 2017/06/27(火) 21:20:31.76:PvRSllVW

そんな高いの????
774ワット発電中さん [] 2017/06/28(水) 04:33:31.40:m5NaFGN3
古い話で恐縮ですが、ご存じの方いらしたら、教えてください。

Xilinx ISE14.7で、以前のソースを改造して使おう、というとき、
以下の手順で操作したのですが、 
774ワット発電中さん [sage] 2017/06/28(水) 09:41:36.67:S1EG7NPY
以下の手順について
774ワット発電中さん [sage] 2017/06/28(水) 10:04:31.05:C/pIN6jb
烏賊のように手八丁な手順
774ワット発電中さん [sage] 2017/07/02(日) 02:20:24.43:S6Jb8gvM
バカには見えないんだよ
774ワット発電中さん [sage] 2017/07/02(日) 08:16:00.27:V7hjskES
すぐ人をバカというのは脊髄反射なのかな
774ワット発電中さん [sage] 2017/07/02(日) 08:41:47.34:qA6G56HD

イカは腕十本
774ワット発電中さん [sage] 2017/07/02(日) 18:54:32.71:USeqrp4d
さん補足早く!
つまらないことでスレがギスギスしてきた
774ワット発電中さん [sage] 2017/07/03(月) 13:30:46.90:DOU2wLht

それだと「イカゲソ」食べられないでゲソ
774ワット発電中さん [sage] 2017/07/14(金) 19:04:38.54:jLTIolny
最近IntelCPUはAMDにちょっとシェアとられてるらしいから
空いたラインをこっちに回してくれたりしないかな?
774ワット発電中さん [sage] 2017/07/14(金) 20:36:17.98:vfeNlRO6
論理合成でシノプシスのsynplify使っている人いる?
774ワット発電中さん [sage] 2017/07/20(木) 21:00:50.67:ovKReh+q

普通いる
774ワット発電中さん [sage] 2017/07/20(木) 21:23:14.58:f/Dn3OlF

synplifyでxilinxのVIOてインプリ出来る?
774ワット発電中さん [sage] 2017/07/20(木) 21:57:17.82:3c/fPanI
symplifyってそんなにいいの?
774ワット発電中さん [sage] 2017/07/22(土) 18:35:39.96:XglvVRxM
ISE よりはずいぶんよかった。
Virtex5 でLEを90%以上使っても諦めずに合成してくれた。

Vivado になってからは知らねえ。
ってか、まだあるんだな、simplify
774ワット発電中さん [sage] 2017/07/26(水) 17:34:42.57:C8weZtuG
パソコンから画像データ転送してFPGAで画像処理させたいのですが、ボードは何を買えばいいのでしょうか
774ワット発電中さん [sage] 2017/07/26(水) 20:48:53.47:TSdX7oAe

流行りのPYNQとかどうよ
774ワット発電中さん [sage] 2017/07/26(水) 21:38:17.52:yOdbuhRB
Cadence の Palladium XP
774ワット発電中さん [sage] 2017/07/26(水) 23:30:53.52:0tViP/RQ

でなにがいいのよ
Python使えて嬉しいことについて
774ワット発電中さん [sage] 2017/07/27(木) 20:13:34.02:GH4vVbj2

なるほど

alteraボードでのおすすめはありますか?
774ワット発電中さん [sage] 2017/07/27(木) 23:01:44.37:cRWJh0kc
こんにちは。latticeのxp2で5V入力扱いたいんですが、
xilinxのナレッジにあるような、内部の保護ダイオードに
頼って直列抵抗で済ますというのは可能でしょうか?
lattice xp2のデータシート見たんですが、保護ダイオードが
入ってそうな記述をみつけられませんでした。
774ワット発電中さん [sage] 2017/07/28(金) 00:26:08.14:a+/Y3cMv
≠>598?
からいきなりVerilog覚えろは厳しそうって判断したんじゃないの?
入口としてPYNQはありよ
一般価格でもXのとしては安いし
774ワット発電中さん [sage] 2017/07/28(金) 09:23:18.93:4zU7TjIU
画像処理ったって何をしたいのか分からんし、
PCIe でリアルタイム転送なのか、USB でいいのか、XMODEM でたらたらでいいのか。
予算も何も書かずに「何を買えばいいのか」なんて、小学生かよ。社会人としてどうなの?
774ワット発電中さん [sage] 2017/07/28(金) 12:23:19.03:x97hwlxk
FPGAについてもっと調べてから出直しますね
774ワット発電中さん [sage] 2017/07/28(金) 12:28:25.48:i4hZvm82
PYNQは小学生には無理じゃないかな

購入ページが英語だし(まあ大人が購入すればいいか)
スタートガイドやサンプルも英語だし(まあ大人が教えてみせればいいか)
Webブラウザで操作できるけど基本Linuxだし(まあ大人が教えればいいか)

まあどうにかなるか
774ワット発電中さん [sage] 2017/07/28(金) 13:05:07.19:9sNB2VZS
ただFPGAって入門向けの情報がまだまだ少ない気がするよね
774ワット発電中さん [sage] 2017/07/28(金) 13:08:04.22:9sNB2VZS
日本語の情報は特に
774ワット発電中さん [sage] 2017/07/28(金) 13:13:54.23:i4hZvm82
10年後にはC言語と同じくらいFPGAの入門書も書店に並んでるんじゃないかな(楽観的)
774ワット発電中さん [sage] 2017/07/29(土) 00:45:49.17:Y2rymuAT

需要がない。
774ワット発電中さん [sage] 2017/07/29(土) 01:05:01.99:3ArzlIJn
Intelがすんごい需要作ってくれるはず
(楽観的)
774ワット発電中さん [sage] 2017/07/29(土) 01:40:10.89:KuQC6+mX
IntelほんとなんでAltera買ったんだろうね
774ワット発電中さん [sage] 2017/07/29(土) 07:42:55.26:QHwMj+wJ
買った事を後悔してるっぽい。
774ワット発電中さん [sage] 2017/07/29(土) 08:45:50.77:3g4cXcaW
おもちゃが欲しかったんじゃないかな。
774ワット発電中さん [sage] 2017/07/29(土) 10:11:08.97:KLtoTsPP
サーバのアクセラレータ需要を見込んで買ったのかと思ったら車載に進出とか言ってるし
なんか迷走してる感ある
774ワット発電中さん [sage] 2017/07/29(土) 15:47:56.32:xE/y/IEw
XeonとFPGAを組み合わせて、カスタムできるCPUにしようとかなんとか
774ワット発電中さん [sage] 2017/07/29(土) 15:49:28.94:U4pMZhR2
で 、 P Y N Q だ と な に が い い ん だ よ
774ワット発電中さん [sage] 2017/07/29(土) 16:20:25.24:5mBQ+nN2
Academic $65 だけだな

それ以外は、 競合より劣るスペックのくせに 値段は高い
やる気ねぇだろ
774ワット発電中さん [sage] 2017/07/29(土) 17:33:30.44:80MyjEjR
ただFab埋められる需要が欲しかっただけなんじゃ?
それだけでAlteraごと買っちゃえ!になるのもw
774ワット発電中さん [sage] 2017/07/29(土) 19:36:43.14:w890qTKS
Xeon+FPGAって使い物になるのかな
サーバ用途だが、プログラマーもFPGA屋も使いこなすのは難しそう
MicrosoftやGoogleのハイスペックエンジニアくらいしか使えなさそう
774ワット発電中さん [sage] 2017/07/29(土) 20:15:10.85:z3f/3QCy

alteraだと性能よくて安いボードあるの?
素人なんだけどarty z7-20買おうか悩んでたとこ。
774ワット発電中さん [sage] 2017/07/29(土) 21:31:46.84:/dmf+xvH

簡単にSSHでログインできてLinuxの操作ができるので、FPGAの理屈がわからずビットストリームも生成できないような素人でも簡単に動作確認できて劣化ラズパイ的な使い方ができる
774ワット発電中さん [sage] 2017/07/30(日) 11:19:38.62:tj4/8H5M

thx
774ワット発電中さん [] 2017/08/07(月) 01:29:04.77:vkL4+BTd
Quartus2 Standard Editionの30日ライセンスが切れてもう30日使いたいんだけど
だれか裏技おしえてください。
774ワット発電中さん [sage] 2017/08/07(月) 06:27:59.36:lL5dsdqI
仮想マシン上で使う
774ワット発電中さん [sage] 2017/08/07(月) 23:46:40.13:VXFjeklc
ワロタ
774ワット発電中さん [] 2017/08/10(木) 15:38:04.02:je8gXft8
上位2社が見向きもしない小規模用デバイスっていまどこが主流ですか?
774ワット発電中さん [sage] 2017/08/10(木) 18:44:45.03:E2cvHPtP

そ〜言うのはLattice使っとけ

serdesが速けりゃもっと応用ふえるのにとは思うが
774ワット発電中さん [sage] 2017/08/11(金) 07:51:58.23:4joLdfMN

俺もLatticeは便利に使ってます。

でも、俺個人はIntel Alteraから離れているのですが、MAXシリーズには小規模デバイスがあって
いまでもアクティブなんじゃないのですか?

Xilinxは開発ツールからして、過去のものにしたがっている様子ですけど。
774ワット発電中さん [sage] 2017/08/11(金) 22:36:10.61:JiK1o7gv

主流は知らないが、Maxとか旧Cycloneかな。
理由は、ツールが変わるとメンドイから。
探すと、LE単価が旧シリーズが安かったりするし。
774ワット発電中さん [sage] 2017/08/12(土) 19:37:24.76:nyZ3d4DZ
 ディジタルフィルタの実装で使う用途が多いから、FPGAの雑誌は
FPGAそのものというより、フィルタ理論がわかってて当然という雰囲気があるから
マイコン雑誌より要求レベルがあがるね。
774ワット発電中さん [sage] 2017/08/16(水) 23:43:08.56:9U3Dwmc2

日付を過去にする
774ワット発電中さん [] 2017/08/17(木) 02:02:22.92:SoLLOJ2K
ネット接続を切らないと。
arisa ◆QaHT6HayjI [sage] 2017/08/18(金) 12:56:59.09:So6r90hV

matlabかscilbでフィルター係数出して入れるだけやん
774ワット発電中さん [sage] 2017/08/18(金) 13:00:03.90:DV5x47uD
その程度のやつが多いよね。
arisa ◆QaHT6HayjI [sage] 2017/08/18(金) 18:51:19.83:So6r90hV

フィルターの神様ktkr
774ワット発電中さん [sage] 2017/08/20(日) 01:16:25.51:CjzgtjQV

神様おすえて どんな参考書がいいの
774ワット発電中さん [] 2017/08/20(日) 03:35:44.25:MzrVqsJu
ALTERAのDE0というFPGAを買いました。
40ピンの外部I/Oコネクタがあります。FPGAのピンからそのまま直接出ているようです。
FPGA回路図を見ると、
VCCIOに3.3V、VCCAUXに2.5V、VCCINTに1.2Vが接続されています。

FPGAに信号を接続したいのですが、FPGAに5Vがつながっていないので、
5Vの信号を入れても大丈夫でしょうか?
瞬間なら大丈夫で、連続だと抵抗をかませば大丈夫、とかありますでしょうか?
774ワット発電中さん [sage] 2017/08/20(日) 04:38:10.18:lcD/Hv7U

5V信号をそのままはI/Oに挿すのはNG
必ず抵抗か何か使って3.3Vに降圧すること
774ワット発電中さん [sage] 2017/08/20(日) 10:17:31.01:kTaxXMFg
抵抗入れても5V TTL出力を入れるのはちょっと憚られる
774ワット発電中さん [] 2017/08/20(日) 10:44:48.89:2rAXyFGR

いろいろな考え方があるかと思います。
(1)ダメ
(2)デバイスのアプリケーションノートに掲載されていれば良いとする。
(3)上方向のクランプダイオードの規格を満たせばOKとする。

心配だったら抵抗2個で分圧すればよろしいのでは。
774ワット発電中さん [sage] 2017/08/20(日) 10:52:03.77:Htlz9gTO
ttp://www.altera.co.jp/literature/an/an447_j.pdf

この辺りを見ると、一般論に留まらずクドクド書いてるから 実際にも弱いと思えるな
これ絡みで、過去にトラブルでも起こしてるのかも?
甘く見ない方がいいぞ
774ワット発電中さん [sage] 2017/08/20(日) 12:31:38.92:ArUiJFbO

アナログIC屋は黙ってな
774ワット発電中さん [] 2017/08/20(日) 14:06:24.91:2rAXyFGR

それって何ですの?
774ワット発電中さん [sage] 2017/08/20(日) 14:22:01.68:RQITL37Z
でも別売りのDE0用LCDは5V駆動という謎
774ワット発電中さん [] 2017/08/20(日) 14:28:20.65:2rAXyFGR

詳しく見てないですが、
・LCD側がTTL互換レベル入力。
・リードを想定していない。
ってことでしょうか。

LCDの内蔵ドライバの駆動力ってたいしたことがないものが多いので、
仮にリードしても、直列抵抗&クランプで逃げられる、という発想でしょうか。思い切ったな。
774ワット発電中さん [sage] 2017/08/20(日) 14:51:30.41:EAQVdIGu
LCD からリードするのって ready になったかどうかくらいだし
タイマー使えばそれも要らないしね。
774ワット発電中さん [] 2017/08/20(日) 15:40:02.56:MzrVqsJu
です。
みなさん、アドバイスありがとうございました。
やっぱり3.3Vまでしかだめみたいですね。データーシートを探し出して見てみたら
VCCIO=3.3Vなので、3.3Vが最大でした。

GPIOコネクタは40ピンで2つあり、1つのほうにはダイオードが縦に入っていますが
もう一つは入っていません。FPGAの足そのものを外部にズルズルと
引き回すのは怖いですが大丈夫なのか謎です。

LCDは4.3Vで動いています。FPGAへは120Ωの直列抵抗を入れて4.3Vを喰わせています。
電源関係はこんな感じです。

ACアダプタ7.5V→フルブリッジ整流ダイオードで逆接防止
→3端子レギュレーターで5.0V、これをVCC50と呼ぶ。

VCC50→3端子レギュレータ→3.3V、2.5V、1.2V

VCC50→トランジスタをダイオード代わりにして 4.3V→VCC43
VCC43→LCD電源
LCD→120Ω→ダイオード縦→FPGAのピン
774ワット発電中さん [sage] 2017/08/21(月) 00:54:09.27:qNJAS8jC
実はDE0のPS/2端子は5VがそのままFPGAに入る設計
774ワット発電中さん [] 2017/08/21(月) 22:58:49.26:OhOiefww

PS/2はホスト側でプルアップして、駆動はホスト、デバイスともオープンコレクタじゃなかったっけ。
もしそうなら、デバイス(キーボード、マウス)の電源は5Vであっても、DE0で3.3Vでプルアップされていれば
問題にはならない気がします。
774ワット発電中さん [sage] 2017/08/22(火) 02:17:29.02:GIXwL+7l
あれ、回路図見直したらちゃんと抵抗とクランプダイオードで3.3Vになってる。
774ワット発電中さん [sage] 2017/08/22(火) 10:54:59.97:pwBVdam5

回路図を見るかぎり、FPGAの前に直列抵抗(120Ω) & クランパ(BAT54SW)の構成で保護されてる
>5VがそそのままFPGAに入る設計 ってのは嘘だね
DE0:PS/2 のプルアップは対5.0Vで2kΩ、上の外部保護回路を通した後に FPGAに接続
774ワット発電中さん [] 2017/08/23(水) 03:57:39.04:v1DaOblB
GPIOコネクタ(40pin)が2つあるけど、ダイオードが入っているのは片方だけですね。
何ででしょうか?
774ワット発電中さん [sage] 2017/08/23(水) 08:41:46.06:3Sr41jDk
ダイオードが高速動作に支障きたすんじゃない
774ワット発電中さん [sage] 2017/08/23(水) 12:33:00.89:wpOOVVU2
コストダウンじゃ?CVも回路図には両コネクタともダイオードが書かれてるが、実装されてるのは片側のみ
774ワット発電中さん [sage] 2017/08/23(水) 16:06:43.15:t15/KEoi
メール出して聞けば?
774ワット発電中さん [sage] 2017/08/23(水) 22:37:45.24:qVyyyutb
Microsoft、リアルタイムAI向けアクセラレータを開発
ttp://pc.watch.impress.co.jp/docs/news/1076883.html

Stratix 10ですってよ
774ワット発電中さん [sage] 2017/08/29(火) 23:49:13.58:peHx62ax
ttp://www.itmedia.co.jp/pcuser/articles/1708/29/news100.html
(MS)スライドの説明文
>FPGA内のSoft DPUのアーキテクチャ。Cライクなプログラミングモデルを採用しており、FPGAで一般的なHDLやRTLの記述手法とは異なる

約20年いろんなとこが挑んでは散っていったけど
今度のは使い物になる性能出るんかね?
774ワット発電中さん [sage] 2017/08/30(水) 07:30:19.72:PA7bTGTc
今更Cかよ。
Python とか Haskell とかあるだろ。

オレは書けないけど。
774ワット発電中さん [sage] 2017/08/30(水) 08:40:30.82:5JGeNvCK

Cとアセンブラの関係と同じで、職人がゴリゴリと書いたコードには勝てないよ。
ただ、その出来たFPGAのAIがゴリゴリする様になれば…w
774ワット発電中さん [sage] 2017/09/02(土) 18:31:51.19:6/Lyn7i6
ソフトウェア言語は基本的に逐次実行だから
FPGAの性能を引き出せないんだよね
MSならせめてasync-awaitあるC#ライクなの持ってくりゃいいのに
774ワット発電中さん [sage] 2017/09/03(日) 10:12:37.09:FDw0DrQK

「ソフトウェア言語」で一括りするなよw
知らないと思うが、VHDLの元のAdaは並列処理言語だぜw
arisa ◆QaHT6HayjI [sage] 2017/09/03(日) 12:35:50.80:IRpxWZJq
知恵を忘れたらFPGAという深い沼から己が抜け出せなくなるであろう

いつの時代になっても、言語という枠に囚われてしまう方出るのは、イイネ!
774ワット発電中さん [] 2017/09/04(月) 13:03:27.07:QV/Vj3Le
>VHDLの元のAdaは並列処理言語だぜw
AHDLじゃないのでしょうか?
774ワット発電中さん [sage] 2017/09/04(月) 13:57:20.73:4KczVv3G
ADHD です。
774ワット発電中さん [sage] 2017/09/04(月) 22:59:55.82:O+M6v0mc
Cだろうがほかの言語であろうが、
結局は表記方法がそうなるだけで、
記述する内容はそこまで大きく変わりそうにない気がする。
VerilogとVHDLの違いと同レベルになるかなと。
大事なのはハード記述方法の概念的なもので、
言語(表記方法)は何ライクでも特には気にしないかな。
ただCライクだったりすると、
統合環境等を流用しやすかったり、
いろいろな補助ツールも利用できたり等、
そういう面ではいいこともあるかな。
774ワット発電中さん [sage] 2017/09/04(月) 23:25:17.02:MVepMHL2
ソフトウェア言語なんて聞いたことないが、
つまりはハードウェア記述言語としての対比ということで、
VHDLは含まないということだろう。
774ワット発電中さん [sage] 2017/09/04(月) 23:29:39.57:twGgXhOX
ggrks
666 [666] 2017/09/05(火) 06:38:46.80:vVPnQam4
666
774ワット発電中さん [sage] 2017/09/05(火) 06:55:15.90:zjVMrNp3
AHDLってAlteraHDLだよね。
VHDLよりはハードウェアに近い感じの記述をしてたような、それでいて、ABELやPALASMに比べればずっとわかりやすかったような印象。
774ワット発電中さん [sage] 2017/09/09(土) 20:08:34.66:tg4Jd2MN
なぜどいつもこいつも独自の言語を作りたがるのか
774ワット発電中さん [] 2017/09/10(日) 15:43:57.70:4pKp28/L
ABEL AHDLの方がずっと先(少なくともPCレベルに降りて来るのは)
774ワット発電中さん [sage] 2017/09/10(日) 19:41:07.52:R614jSt+
言語乱立は使う側は迷惑でしかないね。
774ワット発電中さん [] 2017/09/10(日) 20:46:54.85:4pKp28/L
使い分ける程頭が良くありませんとか素直に書いたら?
774ワット発電中さん [sage] 2017/09/10(日) 21:28:02.50:R614jSt+

馬鹿かおまえ。
774ワット発電中さん [] 2017/09/10(日) 21:48:13.52:dRn4m3zf
図星だったか。
774ワット発電中さん [sage] 2017/09/10(日) 22:33:32.55:xTpjpeyu
新しい言語で再設計が捗る。
774ワット発電中さん [] 2017/09/11(月) 00:21:38.94:B6UjVLrF

いや、しかし既存のハード記述言語は、糞過ぎる。
もう少しハード向きの言語を考えた方がいい。
VHDLもCもFPGA向きじゃない。
Cでハード記述なんて、COBOLでOS書くくらい場違い。
774ワット発電中さん [sage] 2017/09/11(月) 02:22:20.13:AckoFUsN

どういうのがFPGA向き言語か提示して下さい
774ワット発電中さん [] 2017/09/11(月) 06:45:54.93:fKsHR0Hv
VerilogにしてもVHDLにしても、論理合成は後付けって事を考えたら、よく出来てる。
>675 が「糞すぎる」ってんならそれを超える物を見て見たいです。
774ワット発電中さん [sage] 2017/09/11(月) 08:11:51.51:pPHoOZyY
文句だけ達者な解決策を生み出さないやつあるある
774ワット発電中さん [] 2017/09/11(月) 08:26:57.98:/45isYeG
自分には合わない、ということが分からない人は少ないですが、
自分に合うものを作ることができる人は少なくて、
割と多くの人に会うものを作ることができる人は限られてしまいます。

自分に作れないものを批判することを、恥ずかしいことだと考える人と、岡目八目だと考える人がいます。
でも後者のほとんどは自分視点でしかなかったりして。
774ワット発電中さん [sage] 2017/09/11(月) 08:57:36.97:2d+7oqDu
C??
774ワット発電中さん [sage] 2017/09/11(月) 09:19:25.02:MX7nmaOW
シーハテハテ
論理合成に最適化した回路記述言語。Cと付いているが、全く似ていない。
774ワット発電中さん [] 2017/09/11(月) 13:31:08.84:ixM0NNRK
AHDLの方が遥かにましだったが、なぜか消えたな。
774ワット発電中さん [] 2017/09/11(月) 14:29:08.35:kfBBw9Mk
昔はMAX5000のころはさら有料のツールのほかにに別に金が必要だったからAHDL+回路図入力でやってたな。
774ワット発電中さん [sage] 2017/09/11(月) 20:12:16.91:ZWCJFR6U
言うて半導体だからなぁ
300MHzレベルとかだとタイミングエラーすぐ出るし、遅延考慮のレジスタスライスとか考えたらRTLじゃないとキツイ
774ワット発電中さん [] 2017/09/11(月) 22:53:55.37:vPMJ5Zqx

ソフト言語でFORTRANやASMを蹴散らして、Cが栄えたのは、
文法エラーが無ければ、基本的に構造化構文になってメンテナンス性も移植性も高いから。
ハード記述言語も同期回路以外は、
文法エラーで記述できないような言語仕様でないと、
メンテナンス性も移植性も悪すぎる。
774ワット発電中さん [sage] 2017/09/11(月) 23:15:27.91:SFj/LQ8U

かなりの勘違い
774ワット発電中さん [sage] 2017/09/12(火) 00:38:00.61:nzf9QYGY

最初に読んで俺もそう思ったが、半分は正しいかもよ。

> メンテナンス性も移植性も高いから。
それまでアセンブラで書かれていたOSがCで書かれるようになり、メンテナンス性に移植性が向上したのは事実。
そのお陰でUNIXが普及したしね。

> ハード記述言語も同期回路以外は、文法エラーで記述できないような
これは間違い。
VHDLは、その気になれば基板の設計も出来る。当然、アナログ回路とか電源も含まれる。
FPGAも同じで、非同期回路が書けないとこまってしまう。
774ワット発電中さん [sage] 2017/09/12(火) 01:25:54.46:WMHAnmLy
UNIXがまともに普及したと言えるのはOSXから。
774ワット発電中さん [] 2017/09/12(火) 02:20:42.60:IXFsM8YI
VHDL
- 設計仕様のドキュメント言語として開発
- 読解性に優れ、システム仕様の曖昧さを排除した厳格な言語

Verilog-HDL
- C 言語を基にし、ASIC 設計の記述性を重視した言語
- ゲートレベル・シミュレーションの為の機能も充実

これって本当か?
774ワット発電中さん [] 2017/09/12(火) 06:45:33.81:ng+4Xy2l
どうしても必要な1%の回路にも非同期回路が使えないような
言語では困ってしまう。
774ワット発電中さん [] 2017/09/12(火) 06:48:13.69:IXFsM8YI
非同期回路って本質的ではないように思う。現実的には同期的にしかシミュレーションできない。
774ワット発電中さん [] 2017/09/12(火) 06:49:36.39:IXFsM8YI
>VHDLは、その気になれば基板の設計も出来る。当然、アナログ回路とか電源も含まれる。
その気になればといわれても、意味がわからない。Net配線ができるだけってことなら
別に言語つわかなくてもできるんだから意味がない。当然アナログの動作シミュレーションが
できるということでなくてはならないと思うが、、、出来るんだろうか?
無理やりこじつければできないことはないだろうが、それならCでも,exelでもできる。
arisa ◆QaHT6HayjI [] 2017/09/12(火) 07:29:25.57:pWfoTfbx
仕様書を理解して言語を書かないでMOS回路で全て組めばいいんだよ!!!w
774ワット発電中さん [] 2017/09/12(火) 07:32:10.63:ng+4Xy2l

お前さんのやっすいシミュレータではそうなんだよな?
arisa ◆QaHT6HayjI [sage] 2017/09/12(火) 07:36:44.52:pWfoTfbx
え?お金出すとそういうことできるの?
774ワット発電中さん [sage] 2017/09/12(火) 08:34:27.58:KBLUgNge

なんなの?その素人感丸出しのコメは?
774ワット発電中さん [sage] 2017/09/12(火) 18:55:46.42:+NNIH/HL
VHDLで入力ピンマトリクスでのビンゴゲームを書けますか?
774ワット発電中さん [] 2017/09/12(火) 23:49:11.66:s4+P58yx

1%も非同期な回路があってちゃんと実装できるか?
昔のPLDなら、問題なかったかもしれないが、FPGAと呼ばれるデバイスで
1%も非同期な動作してたら、まともに動かない以前に、
リソース不足とかでビルドが通らないと思うが。
774ワット発電中さん [sage] 2017/09/13(水) 00:46:56.16:2wVDuTOL

なんか勘違いしてるみたいだが、論理式はすべて非同期回路だぞ
774ワット発電中さん [] 2017/09/13(水) 03:30:26.34:PXLJE1Jl

何か勘違いしてるんじゃないかと思うがマクロセルを使って非同期回路を作るって意味だろ。
774ワット発電中さん [sage] 2017/09/13(水) 04:20:56.40:UN4CVSm7
おまえらなにいってるかさっぱりわからんわ
774ワット発電中さん [sage] 2017/09/13(水) 06:33:57.84:0RNwdHFr

いやいや、PLDのほうが難しいだろ。
774ワット発電中さん [] 2017/09/13(水) 06:34:51.70:jYxer0v1
言葉尻しか捉えられない連中の事はほっといていいのではないでしょうか。
arisa ◆QaHT6HayjI [sage] 2017/09/13(水) 07:19:32.44:oVIwSmke

DAとオペアンプつないで「ビンゴ」とかしゃべらせるのは工業高校ですらできるよん
774ワット発電中さん [] 2017/09/13(水) 07:52:11.71:PXLJE1Jl
まあいろいろ問題はあるにせよVHDLやVerilogは諦めて、もうすこし抽象度の高いところで、マシな言語を考えた方がマシ。
でもそうなると手法レベルが必要なのであって言語はC#でもJavaでも優れた言語あるのでそれを使えばいい。
774ワット発電中さん [] 2017/09/13(水) 07:56:29.32:PXLJE1Jl
システムcの抽象化ってどうよ。チャンネルってのが気になる。というか気に障る。普通に接続だけでよかったような
気がする。
774ワット発電中さん [sage] 2017/09/13(水) 08:05:18.08:g7gtjNLh
> C#でもJavaでも優れた言語・・・
確かに初心者向けに垣根を下げる効果はあった
しかし結果はどうだった?、ポエム・レベルの糞アプリだらけだったろ

初心者歓迎ってわけじゃないからな
金も時間もかかる、習得できなきゃ諦めろ
そういう事だ
774ワット発電中さん [sage] 2017/09/13(水) 08:30:20.18:yqQYyWol
CとかはCPUの命令セットを生成する言語だから演算、分岐、代入などがしやすい文法になってんでしょ?
HDLだって論理ゲートやFFの構成を記述しやすい文法になるのが普通で、Cに似せるなんて無理があると思うよ。
774ワット発電中さん [sage] 2017/09/13(水) 08:37:31.18:0RNwdHFr
プログラマ連れてくればハードも作れるよって発想がそもそもアホ。
774ワット発電中さん [sage] 2017/09/13(水) 08:41:43.69:/5SuLwD/
>ポエム・レベルの糞アプリだらけだったろ
つまらない主観
774ワット発電中さん [sage] 2017/09/13(水) 08:57:03.03:jkksRDht

System-C? あれはクソだろw
774ワット発電中さん [sage] 2017/09/13(水) 11:05:36.42:ii9FBrQD
HDLは天国の言葉
774ワット発電中さん [] 2017/09/13(水) 16:16:52.03:U7MFoyW3
Heavenly Domain Language
774ワット発電中さん [] 2017/09/13(水) 23:51:34.91:DSB8WfdH

いや、もっと抽象度の低い言語が必要。
もちろん将来的には、抽象度の高い言語に進むだろうが、
抽象度の低い言語がグダグダな段階で、
抽象度の高い言語を開発しても、実用的なものが作れない。
774ワット発電中さん [sage] 2017/09/14(木) 00:33:05.73:8BS2PmSB

じゃあ、回路図入力だな
頑張ってくれ

ネットリスト入力もあるけどね
774ワット発電中さん [sage] 2017/09/14(木) 00:56:24.90:8BS2PmSB

> Cとか 〜 演算、分岐、代入などがしやすい文法
演算・分岐・代入なら、事務処理言語COBOLでも、科学技術計算言語Fortranでも出来ること。
Cは、格好よく言えばシステム記述言語。そこがそれまでの言語と決定的に違う。悪く言えば高級アセンブラw

> HDLだって論理ゲートやFFの構成を記述しやすい文法
それだけなら論理式で今でも出来るやん

> Cに似せるなんて無理があると思うよ。
なぜCはシステム記述言語と言われるか?
それは強力なビット演算にメモリアクセス、それにレジスタのビット幅に依存しない言語仕様だから。
そう、HDLと比較的親和性は高い。

ちなみにVHDLの元になったAdaは、Cよりさらに高級なシステム記述言語だったりする。
774ワット発電中さん [sage] 2017/09/14(木) 00:59:46.85:qNKNvNsI
ついでに言えばAdaはCにも影響を与え、ANSI-Cを産み出した。
774ワット発電中さん [] 2017/09/14(木) 04:39:40.69:+K37cn0h
adaがひどすぎるのでCが生まれる切っ掛けになったという意味では影響にはちがいない。
ではあるが、影響っていう言葉は一寸使い方が違う気がする。影響の正しい使い方として
文字通りに影響を受けたのはVHDLだろう。

hello.adb

with Ada.Text_IO; --文字列の入出力のために必要なライブラリ
use Ada.Text_IO; --Putを直接呼べる
procedure Hello is --プロシージャ。名前はファイル名と一致させる。ただし大文字開始
begin --Helloプロシージャ開始
Put("Hello, world!"); --文字列出力
end Hello; --Helloプロシージャ終了
774ワット発電中さん [] 2017/09/14(木) 05:14:19.90:+K37cn0h

開発は不思議なものでどんなに綺麗なベースを作っても、グダグダにする人が現れてそれを引き継いでグダグダにする。
言語もそれに似ている。
AHDLは非常にきれいな言語だが完成したものの9割はグダグダだ。Cも非常に綺麗な言語だが完成したものの8割は
グダグダだ。
大局的見地からすれば諦めるということが最も賢明な選択だろう。
アーティストといわれる人は道で拾ったガラクタを組み合わせて美しいアートを作り上げる。VHDLでも美しく作ることが
できないことはない。
774ワット発電中さん [sage] 2017/09/14(木) 06:30:59.74:JrpIoQ0R
非同期リセットの検証は、アサーションでがちがちに固める以外になにかあるの?
774ワット発電中さん [sage] 2017/09/14(木) 06:43:42.87:8BS2PmSB

> adaがひどすぎるのでCが生まれる切っ掛け
誰がそんな事を言ったw
誕生の順番なら K&R C → Ada → ANSI-Cだよ。

最初のCが酷かったので、Adaの良いところを取り込んで改良されたんだよ。今のCのキャストもAdaの影響。
774ワット発電中さん [] 2017/09/14(木) 08:40:56.47:+K37cn0h
>721
時代は常に孕んでいる。adaができるにはその原因はずっと以前からある。そして伝統は
否応なしに受け継がれる。窮屈でも不自由でも諦めて使う以外にない。
774ワット発電中さん [sage] 2017/09/14(木) 09:09:54.64:69LV0AnH

Ada以前を語れってか?
面倒くせ〜し、流石にスレチだわ

要点は、Cはシステム記述言語であり、資産もあり、書ける人も多く、
最善策ではないが次善策としては高位合成に適した言語だって事。
774ワット発電中さん [sage] 2017/09/14(木) 10:28:30.63:A/obtt3N
Cを拡張して新しいHDLでも作りたいの?Velilogで十分だと思うけど。
774ワット発電中さん [sage] 2017/09/14(木) 10:58:13.77:In2urZ7z

全然適してないって。
本当に設計したことある?
774ワット発電中さん [] 2017/09/14(木) 12:10:31.48:OIqIqS15
本当にverilogやVHDLより圧倒的にいいと思うんなら仕様だけでも公開したら。
有志が実装してくれるかもしれないし。
774ワット発電中さん [sage] 2017/09/14(木) 14:48:27.23:Y7Y9ZM0t
System Verilog もさ、logic とか取り入れて抽象化したいのかと思えば
always_ff や always_com って思いっきりレジスタ意識させてるし
意味分からないよ。
774ワット発電中さん [sage] 2017/09/14(木) 19:38:22.93:JjJBCO3E

背景を知らないとそう思うだろうな。

Sysuem Verilogはあるのに、なぜSysuem VHDLは無いのか?
答え、Sysuem Verilogで拡張部分は元々VHDLは持っていたから。
774ワット発電中さん [sage] 2017/09/14(木) 19:45:47.20:cQPAH184

SystemVerilogは抽象化寄りじゃなくて記述量とミス削減目指してるんじゃない?
774ワット発電中さん [sage] 2017/09/14(木) 21:24:03.77:PkvUcGEW
20年前にWindowsを中心に開発環境が激変したからなぁ。
キ-ワードの色は変わるわ、リアルタイムで文法チェックしてくれるわ、
タブの位置、整形までしてくれて、インテリセンスまである。
変数にマウスを当てれば型を教えてくれ、関数をダブルクリックしたら定義に飛んでくれる。
これは言語のあり方まで変えるほどのインパクト。
774ワット発電中さん [] 2017/09/15(金) 00:35:11.88:CfHJAcJx

全然適してないと思うよ。
ハードの記述には、少なくとも、オブジェクト指向の概念は必要じゃないかと思う。
774ワット発電中さん [sage] 2017/09/15(金) 00:43:24.12:i3eI5AtF
解かってそうなのは、 ぐらい
後は、バカばっか
774ワット発電中さん [sage] 2017/09/15(金) 00:46:21.29:sWLe4ujV

なんで、そんなに必死にSysuemってするんだ?

お前らはSystem Verilogを使っている?
774ワット発電中さん [sage] 2017/09/15(金) 01:14:14.47:8ioS1kpY
自分だけはバカじゃないという前提
774ワット発電中さん [sage] 2017/09/15(金) 01:18:07.13:Sd+f7w+e

教育関係者だけど、初心者にはSystemVerilogの方が良さそうなんで、
使おうとしてるところ。

今わかってる唯一の難点は、default_nettypeをnoneにすると、
input logic使ったらModelSimがエラーになること。Quartusは通る。
せっかくlogicで統一できるかと思ったのにな。
774ワット発電中さん [] 2017/09/15(金) 04:48:14.82:slx9nfd5
初心者には辛いだろうな。VHDLもVerilogも巧みに本質を外すから。料理を習いに来たのに皿洗いを3年間やらされる
感じだろうな。
774ワット発電中さん [sage] 2017/09/15(金) 08:43:50.48:2PMgCxWX
後はバカばっかなんだって。天才すぎて大変そうだね。バカにも分かるように説明してあげなよ。
774ワット発電中さん [sage] 2017/09/15(金) 09:11:17.91:YHXXNOlR
logic じゃなくて bool がいいのに。
uint13 なんかも欲しいな。
774ワット発電中さん [sage] 2017/09/15(金) 12:31:59.35:dztcUxzx
非同期リセットの検証は、アサーションでがちがちに固める以外になにかあるの?
774ワット発電中さん [sage] 2017/09/15(金) 14:05:27.77:N9Pvle6L
自分だけはバカじゃないという前提
774ワット発電中さん [] 2017/09/16(土) 02:50:25.50:uW34RPaN
>非同期リセットの検証は、アサーションでがちがちに固める以外になにかあるの?

アサーションを大量に入れるのは無意味だろう。非同期なので無限に必要になる。
大量に入れるのではなくて、大量に検証を行うのが正しい。あらゆる非同期のすべてのタイミングを検証する。
それ以外には方法はない。
774ワット発電中さん [sage] 2017/09/16(土) 05:31:19.94:Zs5XNnRY

お金出せばツールで出来るとかウソだったのね!ひどいわw

ありがとう
774ワット発電中さん [] 2017/09/21(木) 03:41:00.55:LiyKJp7W
XilinxのSpartan6-LX9で教えてください。

LVCMOS33 を、8本
LVDS25 を、2本
を取り出そうと、FPGAの足から線を取り出し、ピン割り付けをしたらエラーになりました。
LVDSとLVCMOS33は、同じバンクでは取れないようです。
別のバンクに変えたら、ちゃんと動きました。

たった2本のLVDSのために、1つのバンクが全部LVDSになって、
LVCMOSは使えなくなってしまうのでしょうか?
もったいない気がします。
774ワット発電中さん [] 2017/09/21(木) 03:52:14.69:/1z6ymuX
バンクを小さくすればいいのだが、そうすると別の問題が出る。
774ワット発電中さん [] 2017/09/21(木) 06:51:13.47:lGB6Wk5r
バスで「ウチの前で止まってくれないかなー」って叫んでるようにしか読めないけど
嫌ならASICでも作って、って話。
774ワット発電中さん [sage] 2017/09/21(木) 07:10:48.92:GdzCi0Wy
ピンが足りないってことかな?
おっきなパッケージ使えばいいじゃない。
774ワット発電中さん [sage] 2017/09/21(木) 07:56:52.23:lA8E6X5I
IOバンクってのはIO電圧を複数使えるようにするためなんだが
この場合、バンクの全部がLVDSになるのではない
バンクの全部のIO電圧が2.5Vになる
LVCMOS25なら使える

っていうか、この程度を資料から読みとれないレベルでLVDSなんて使えるのかね
774ワット発電中さん [] 2017/09/21(木) 12:17:31.70:w1PWlv4u

LVCMOS33を使いたいって言ってるから、LVCMOS25ではないよ。
電圧足りないじゃん。
774ワット発電中さん [sage] 2017/09/21(木) 14:03:12.03:20lEbo6r
これこれ「なら」使えるという条件提示に対して、そうじゃないとかいう言わずもがなの指摘をする心理がわからん
774ワット発電中さん [sage] 2017/09/21(木) 14:12:57.53:T5+Z3RW+
今日の面接の相手は手を汚さない口先野郎だった。
774ワット発電中さん [sage] 2017/09/21(木) 18:30:51.74:pXedODPO

言葉のアヤみたいなところにつっこんでも荒れるだけです。

テクニカルな部分で意思疎通ができてれば良いのですが。

元質問は
>たった2本のLVDSのために、1つのバンクが全部LVDSになって、
>LVCMOSは使えなくなってしまうのでしょうか?
となっていて、バンク全体がLVDSになるのではないかと心配しています。

それに対して、
・全体がLVDSにならない。
・バンクごとに電圧が変わるだけ。
・LVDSが使えるようにしたバンクでもLVCMOS25なら使える
と適切な解説をしているように見えます。
774ワット発電中さん [sage] 2017/09/21(木) 19:20:35.92:A7U2NA45
さまざまなI/Oを使えるようにしているから、
使うI/Oの種類によってI/O電源かえる必要がある。
これはFPGAに限らずASICだって何だって一緒。
(レギュレータ内蔵しているようなものなら単電源もあるけど。)

↓ここでいうと。
ttp://www.altima.jp/column/fpga_edison/io_std.html
表中のVCCIOが同じものは同じバンクで使用できるが、
異なるものはバンクを分けて、
それぞれのバンクのVCCIOに指定の電圧の電源を入れる必要がある。
774ワット発電中さん [sage] 2017/09/21(木) 19:25:09.73:J9Ois03A
ついでに、入力ならIO電圧は関係ないことも多い
きっちり把握してないが、LVCMOS入力ならIO電圧は何でも良かったような気がする
あとはデータシート見れ
774ワット発電中さん [sage] 2017/09/21(木) 22:30:03.56:pXedODPO
の訂正です。

すみませんでした。
Spartan6はLVDS_33のサポートがありますので、
必要なLVDSが3.3Vで、VCCOを3.3Vにしておけば、LVCMOS33出力も同一バンクでできますね。
ここしばらく取り組んでいたLVDSが2.5Vだったので、つい、頭の中が、LVDS = 2.5V以下 みたいなふうになっていました。
774ワット発電中さん [] 2017/09/21(木) 23:38:56.93:w1PWlv4u

まあ、普通は2.5だけどね
774ワット発電中さん [sage] 2017/09/22(金) 00:46:29.89:59rPpv1w
LVDS33なんてあるんだな
2.5か1.8だと思ってたわ
まあ、相手がいないから同じFPGAとしかせつぞくできないかもね
774ワット発電中さん [] 2017/09/22(金) 02:26:47.05:05G6TmfC
LVDSって、SelectIO 部分で、1つの信号を非反転Buffer 反転Bufferに入れて
Skew調整しただけじゃないの?
774ワット発電中さん [] 2017/09/22(金) 02:28:46.08:dSehketK
だけ、ってどういう意味?
774ワット発電中さん [sage] 2017/09/22(金) 05:10:42.15:wwq/DjFc

ああ、日立とかルネサスのサイコパスか
774ワット発電中さん [sage] 2017/09/22(金) 05:12:10.78:wwq/DjFc

出力バッファーに、アナログオペアンプ回路ぐらいあるんでね?
774ワット発電中さん [sage] 2017/09/22(金) 06:36:54.16:d3N8OZFY
なんでそんなところにオペアンプ?
774ワット発電中さん [] 2017/09/22(金) 15:03:36.02:AKT5Np4C
オペアンプは、いらんだろうが、
Low Voltageなんだから、電圧を下げなきゃいかんのじゃないか。
774ワット発電中さん [sage] 2017/09/22(金) 18:25:43.84:d3N8OZFY
の認識を知りたい。
774ワット発電中さん [sage] 2017/09/23(土) 08:09:52.37:LVgjwq9g
出力バッファに、差動対ぐらいあるんでね?
774ワット発電中さん [] 2017/09/23(土) 08:24:16.07:0wtaisdK
LVDSのドライバって俺が知ってるのはこんなのだよ。
VCCの電圧にかかわらず、差動電圧は350mVになる。

FPGAの中で、これじゃない実装になっているかどうかは知らない。
774ワット発電中さん [sage] 2017/09/23(土) 09:56:39.56:9lGA2+52

alteraの話だけど(たぶんXiとかも同じじゃないかな)、
単なるLDVS指定はちゃんと差動バッファ使ってる。
LVDS_3Rとかはそんな感じらしいね。
774ワット発電中さん [sage] 2017/09/23(土) 10:56:09.19:EPE74B7X
なむなむ
774ワット発電中さん [sage] 2017/10/03(火) 07:38:14.33:eTrQlW0D
なんか新しいデバイス来た
ttp://techon.nikkeibp.co.jp/atcl/event/15/091100139/100200019/
使い物になるなら期待したい
原理もうちょっと詳しく知りたい
774ワット発電中さん [sage] 2017/10/03(火) 07:45:31.47:eTrQlW0D
無料期間限定らしいので一応魚拓
ttps://megalodon.jp/2017-1003-0742-37/techon.nikkeibp.co.jp/atcl/event/15/091100139/100200019/?rt=nocnt
774ワット発電中さん [sage] 2017/10/03(火) 08:35:53.72:O3n/RfbO

面白いな。
ただ、原理的にTrの使用効率が悪そうだな。
774ワット発電中さん [sage] 2017/10/03(火) 09:39:44.36:Gndzj0FG
PLD的な何か
774ワット発電中さん [sage] 2017/10/03(火) 10:33:55.01:f54WUNZb
価格が1億なら意味ないね
774ワット発電中さん [sage] 2017/10/03(火) 10:36:36.99:5AGRb/5h
ttps://i.imgur.com/7I4n9IS.png

ttps://i.imgur.com/DoFp8aE.png
774ワット発電中さん [sage] 2017/10/03(火) 11:15:42.48:u196oP6B

サンクス

コストはFPGAの10分の1以下って主張してるね
774ワット発電中さん [sage] 2017/10/03(火) 11:21:03.10:f54WUNZb
じゃあ最大の問題点は開発ツールが無いとこかね
774ワット発電中さん [sage] 2017/10/03(火) 11:23:31.84:u196oP6B
そりゃ今はしょうがないべ
売りたきゃ自分らで頑張って作るか、
仕様をオープンにしてサードパーティー巻き込むかしかない
774ワット発電中さん [sage] 2017/10/03(火) 11:29:22.98:p8dmTXyq
ブロックRAMへステートマシンをインプリメントするってヤツみたいなもん?
論理合成に時間かかったんじゃないかな
今は知らんけど
774ワット発電中さん [sage] 2017/10/03(火) 11:41:44.21:/xn4ZalX
> MRLDの回路構成はニューラルネットとも相性が良いことから、今後は人工知能(AI)の用途も狙っていく。

確かに、信号のやりとりが近場のヤツとだけで済むような用途には向いてそうだな
メリット出せるのは、そういった類の特定用途だけそう

んでも、この手の市場が今後デカくなるってなら 意味有るアプローチだな
774ワット発電中さん [sage] 2017/10/03(火) 15:45:12.16:G+Z/TL/l
RAMの書き込みに三日掛かる。
774ワット発電中さん [sage] 2017/10/03(火) 18:09:56.88:FJXtQEzE
なんかPROMでロジック組んでた頃思い出すな
774ワット発電中さん [] 2017/10/03(火) 19:47:30.63:F+VF6JTH
ブール代数のいい演習になったな。
774ワット発電中さん [sage] 2017/10/03(火) 19:56:51.18:xQbh/O60
ベースは古い技術なの?
774ワット発電中さん [sage] 2017/10/03(火) 20:36:58.70:Wp/IwrGw
新しい技術とは?
古い技術がベースでない技術とは?
774ワット発電中さん [sage] 2017/10/03(火) 20:38:15.10:iruS3rgU

同意
774ワット発電中さん [sage] 2017/10/04(水) 17:16:00.58:Qrh8RBDp
これに対抗してFPGAの値段が下がってくれるとこっちはおいしい
774ワット発電中さん [sage] 2017/10/04(水) 17:21:30.76:5sLoq+oc
xilinxから手鏡を貰ったのだが、おっさんしかいない職場に手鏡を配ったって使わんやろ……
774ワット発電中さん [sage] 2017/10/04(水) 19:16:28.55:TgLSqRZq
ミラーマンの道具
774ワット発電中さん [sage] 2017/10/09(月) 22:16:08.94:Tazwzt7o

それ、神器やで
774ワット発電中さん [sage] 2017/10/10(火) 22:43:02.34:Nv6MsGGu
クサナギのツヨシともう一つ何?
774ワット発電中さん [sage] 2017/10/12(木) 02:23:21.90:bhkGl0u+
FPGAを淘汰できるくらい使いやすいデバイスだと俺はおいしい
774ワット発電中さん [sage] 2017/10/12(木) 07:53:46.88:bGxJb87N

そんな夢のデバイスなら誰でもおいしいやろ
774ワット発電中さん [sage] 2017/10/12(木) 15:21:46.56:TJ0T2p7V
altera de10-nanoについて教えて頂きたいです
ボードとUSB Ethernet接続をして、ウェブサーバーのチュートリアルページを10分くらい開いていたらFPGAが異常に発熱していました
時間を置いて接続し直しても異常に発熱します
これはよくある事なのでしょうか?
774ワット発電中さん [sage] 2017/10/12(木) 18:25:01.36:dmmrVu0m
いやー、よくないですね
774ワット発電中さん [sage] 2017/10/12(木) 22:48:30.15:dvRg41Ty

そもそもそのFPGAは何をしているんだい?
USB-Ethernet変換器?
774ワット発電中さん [sage] 2017/10/14(土) 01:53:37.58:jRXnM0YN
FPGAにWebの動画を再生させていたってことか?
774ワット発電中さん [sage] 2017/10/14(土) 08:57:10.14:0v/ocd08
de nanoは本体のromにマニュアルとLinux保存されてて、USB Ethernet経由でそいつにアクセスできるみたいな仕組みだったはず
774ワット発電中さん [sage] 2017/10/14(土) 10:37:51.98:UsTJtATe
へーそうなんだー
ということはボードは動画を転送しているだけで、再生してるのはパソコンか
なんで発熱するんだろ
774ワット発電中さん [sage] 2017/10/14(土) 11:16:09.28:o3WSCFjX
Linux回すとかなリ食うらしい
ヒートシンク&ファン何も無しなら、Pi3でもmake -j4 であっという間に70℃超えるから
そんなもんでしょうな

更にGPU無しなら描画廻りは全てCPU負担。 フルで回りっぱなしならそんなモンじゃない
ヒートシンク&ファンでも付けるか、ssh程度で我慢するか、FPGA部分のみ使うか・・・
そんな処だな。 ぶっちゃけ言うと内蔵ARM 大した価値無い。
774ワット発電中さん [sage] 2017/10/15(日) 00:54:30.24:mOlhsNks
個人的にはssh程度で十分なんだけど、GUIあった方が新規増えるかもな
774ワット発電中さん [sage] 2017/10/15(日) 09:32:15.87:RiAG6D7W
新規増えるの意味
774ワット発電中さん [sage] 2017/10/15(日) 09:55:23.27:60bevyzZ
1から説明してあげるのめんどくさーい
774ワット発電中さん [] 2017/10/19(木) 16:45:58.05:rBNIQ/63
Vivadoで訳あってBRAMを使わずにSliceのリソースだけで実装したいのですが、Synthesis Setting で-max_bram 0 にしてもわずかに消費してしまいます。
BRAMの使用を強制的に無効にする方法ないですか?
ちなみに現在下記のようなWarningが出ています。

[Synth 8-3323] Resources of type BRAM have been overutilized. Used = 6, Available = 0. Use report_utilization command for details.
774ワット発電中さん [sage] 2017/10/20(金) 00:13:56.56:4iQHVZcG

回路関係無しに、何かしらに使われちゃうのかね
やり方は合ってそうだけど
774ワット発電中さん [sage] 2017/10/21(土) 00:47:11.33:mkEXN6PZ
MiniZed発売マダー?
774ワット発電中さん [] 2017/10/21(土) 06:06:51.68:c69FHtbu

場所を特定して
(* ram_style = "distributed" *)
774ワット発電中さん [sage] 2017/10/21(土) 22:01:10.68:EH9h3Dvn
初心者なんだが昔に買ったDE0+Quartusで勉強してみたい
目的はデジタル回路の理解とFPGAを使ってみたい程度のレベル

DE0の後継でCVとか出てきてるんだが、このぐらいの用途ならDE0でも問題ないのかな?
774ワット発電中さん [sage] 2017/10/21(土) 22:11:12.43:uD7ETR8t

全然問題ないよ。LEの数が少ないけど、一通りペリフェラルついててちょうどいい
774ワット発電中さん [sage] 2017/10/21(土) 22:19:53.69:EH9h3Dvn

レスありがとう!安心しました。
HDLとか設計も未経験なので本をなぞりながら勉強しようかなと

デジタル回路はストップウォッチの動作をなんとか追える程度の学生レベル
なので焦らず自分のペースでやってみますわ
名無しさん@そうだ選挙に行こう! Go to vote! [sage] 2017/10/22(日) 14:18:51.19:iutN58H6
DE0でも十分、8bitパソコン一台収まる位の規模までいける
名無しさん@そうだ選挙に行こう! Go to vote! [sage] 2017/10/22(日) 17:13:57.34:VzMhyd14

ありがとー!
quartus2のインストールとボードの動作確認まで完了したよ
ボード付属のCQ出版の本読みながら頑張るよ
774ワット発電中さん [sage] 2017/10/22(日) 21:12:25.42:quIPBnUg

健闘を祈る。そして日本を担う技術者になってくれ
774ワット発電中さん [sage] 2017/10/22(日) 22:30:06.46:VzMhyd14

俺そんなに若くないから無理w
おっさんの趣味と思ってくれ
774ワット発電中さん [sage] 2017/10/23(月) 13:25:53.73:5dJ74h1j
そのうち線を引っ張るのがダルくなってくる
774ワット発電中さん [sage] 2017/10/23(月) 20:23:52.53:yCNKTxNp
おっさん負けんな!
774ワット発電中さん [sage] 2017/10/26(木) 00:35:02.54:UMTUTyTL
CPUコアから自作モジュール(Verilog)を呼び出して実行するのってどうやるのが一般的なんですか?
AXIはVerilogで実装するのが面倒なので、Dual-Port BRAMを挟んで、0番地の0ビット目で実行開始を指示しています
774ワット発電中さん [] 2017/10/26(木) 05:23:44.52:hHMCtTj1
自分でFPGAのボード買おうと思うんだけどPapilio Pro LX9ってやつがいいの?
1〜2万円台で買えるFPGAボードで流行とかおすすめあったら教えてください。宜しくお願いします。
超初心者なんで参考書とかもあったら合わせて教えてもらえると有難いです。
774ワット発電中さん [sage] 2017/10/26(木) 07:37:18.91:JsjmP85h

普通はAPBじゃね?
774ワット発電中さん [sage] 2017/10/26(木) 09:56:22.79:eQFAiFgf

ttp://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=218&No=1021
774ワット発電中さん [sage] 2017/10/26(木) 20:01:23.82:maLYksoi
を国内で扱ってるとこ教えてください
774ワット発電中さん [sage] 2017/10/26(木) 21:53:22.50:KwSB6jcg
それググれずに開発時のトラブルが解決できるだろうか
774ワット発電中さん [sage] 2017/10/26(木) 23:01:55.44:h9L6VzBy

貴重な情報をありがとうございます。

APBスレーブとして動作する適当なモジュールを自作してみたのですが、
なんかAXI to APBブリッジとの接続トラブルっぽいのが起きたので、
しばらくエラーと格闘します…
774ワット発電中さん [sage] 2017/10/26(木) 23:26:45.26:mAPb5ilg

まぁPapillioで良いんじゃないか?

CPU付きに興味あるならZYBO(秋月のライセンス付き)でも
774ワット発電中さん [sage] 2017/10/28(土) 19:58:50.91:rZb9hqgl
MAX10 論理合成と、クロックのスキュー少し悪くないですか?
時々変なの
774ワット発電中さん [sage] 2017/10/30(月) 19:12:20.48:/TihUvSJ
MiniZed国内販売はよ。
774ワット発電中さん [sage] 2017/10/31(火) 23:33:50.90:Hn4V/eKv
FPGAって将来的に個人ユースで普及してくかな?
AIエンジンに向いてるのは判るけど
h.265エンコーダーとか動画用としてはどうなの?
そっちは今まで通りASICかGPUのどっちかなんだろうか?
774ワット発電中さん [sage] 2017/11/01(水) 00:15:15.17:KUbTzsHJ
開発費が回収できないから、数が出ないのヤツはFPGA
出ると解かってるなら迷わずASIC

h.265
もとから効率悪いのに、逢えてFPGAで組むヤツいるか?
どう足掻いても ASIC or GPU の価格に対して勝ち目無しだし

AIエンジンの類だって、数が出るようになればASICに置き換わるわけだし
主戦場は、今後もニッチ市場だろ
774ワット発電中さん [] 2017/11/01(水) 06:43:25.65:GZHarf+/
そんな事自分で判断できないレベルの人がAIのロジックなんか組めないw
774ワット発電中さん [] 2017/11/01(水) 11:56:13.01:0fRIKA0I

確かに悪い気がする
774ワット発電中さん [sage] 2017/11/01(水) 12:36:16.94:dOjESFPI
誰もAIのロジック組むなんて言って無くないか
774ワット発電中さん [sage] 2017/11/01(水) 13:34:11.38:bI+Jvw5C
マクロで見れば永遠にニッチなのは当たり前だけど、
ミクロで見れば過渡期のアルゴリズムを扱う事が多いならFPGAが主流にだってなるだろ。
AIなんて良い例だ
774ワット発電中さん [] 2017/11/01(水) 17:11:31.50:0KbvuFWY
FPGAとCPUのスピード比較ってないかな
774ワット発電中さん [sage] 2017/11/01(水) 17:26:37.71:ZMNck5d6
Z80とかならFPGAに実装されてるだろ。
774ワット発電中さん [] 2017/11/01(水) 18:33:11.25:Nvbdk4lT
>FPGAとCPUのスピード比較ってないかな

比較にならんので比較するまでもないだろ。
それより最高速、最新のFPGAでMAXどのくらいのクロックで動かせる?
774ワット発電中さん [] 2017/11/01(水) 18:49:36.18:GZHarf+/
設計によるとしか
774ワット発電中さん [sage] 2017/11/01(水) 19:01:41.89:Wk/ebl5c
カップ麺の製造スピードと、クルマのスピードを比較しても仕方がない。
774ワット発電中さん [] 2017/11/01(水) 20:03:32.34:Nvbdk4lT
>設計によるとしか
デバイス性能を聴いてるのだから設計にはよらんと思うが、、、
「まあ500Mhzも出せんだろ」くらいにしか思っていないのでそんなに期待してるわけでもないが、、
774ワット発電中さん [sage] 2017/11/01(水) 20:17:41.94:Wk/ebl5c

何を動かすかによってスピード変わりますやん。
単純なレジスタ1個のトグルスピードを知ったって意味ないと思うし。
774ワット発電中さん [sage] 2017/11/01(水) 20:59:23.18:e+gNaz5x
CPUが早いならソフト開発までで終わってオマンマ食い上げじゃ。
774ワット発電中さん [sage] 2017/11/01(水) 21:01:04.09:yyUVc5sJ
Clock Tree Performance for Stratix 10 Devices
Programmable clock routing 1,100 MHz


良い線言ってると思うな
実際、意味の有る回路組んだら、せいぜい この 1/3 ぐらいだろ
774ワット発電中さん [] 2017/11/02(木) 19:06:26.73:Dv9Xd8AJ
もう何年も速いデバイスは触っていないが、Ghzまで進歩したのか。凄いなとは思うがCPUが速すぎて
やっぱり見劣りするな。
774ワット発電中さん [sage] 2017/11/02(木) 19:14:58.19:vpyeCqHm

CPUじゃ同時計算、最大でもスレッド数が上限だがFPGAではゲート数内で幾らでも増やせる
774ワット発電中さん [sage] 2017/11/02(木) 19:33:47.52:yn25I/VP
ありきたりだが、アプリケーション次第としか言えんよな
774ワット発電中さん [] 2017/11/02(木) 21:30:12.17:Dv9Xd8AJ
でも10コアが普通に3.3Ghzで動くからな。1.1G換算なら30コアだからなー。でも実質となると
さらに3倍くらいだとすると、90コアだな。
CPUとそれに匹敵するには機能てきにはCPU90コア分くらいと同等のロジックがFPGAに入るかってことになるが
どうなんだろう?
774ワット発電中さん [] 2017/11/02(木) 22:08:23.34:FW+RPQrk
FPGAはASICまでの仮住まい
774ワット発電中さん [] 2017/11/03(金) 09:30:59.27:d+4eBQxG
と、いう時代が確かにありました…
774ワット発電中さん [sage] 2017/11/03(金) 09:56:52.08:bhG9MRzE
既存CPUまたは、既存CPUでやっていることをFPGAで置き換えようとするような比較をすることが無理があると思うんだけど。

確かに、そういう用途も出てきてはいるけれど。
774ワット発電中さん [sage] 2017/11/03(金) 11:20:03.76:mi7uaDTG

スレッド数っていうか、コア数ね。
まあ用途によるとしか。
774ワット発電中さん [] 2017/11/03(金) 22:08:13.32:1TsHuXYR
性能比較に嫌悪感がとても強い人たち。
774ワット発電中さん [sage] 2017/11/03(金) 22:31:36.49:bhG9MRzE
いつまで続けるんだろう。もう答えは出ているのに。
774ワット発電中さん [sage] 2017/11/04(土) 23:43:47.97:COqblfOC

さすがにそれはむりじゃね?
それができたらCPUはFPGAで組めばいいことになる
774ワット発電中さん [sage] 2017/11/05(日) 09:42:38.99:uQrorX46

値段や消費電力も考えろや。
774ワット発電中さん [sage] 2017/11/05(日) 10:43:35.80:4g5u0tHE

値段?
774ワット発電中さん [sage] 2017/11/05(日) 11:01:53.71:aYB7lYtY
FPGAってのはロジック部より配線部の無駄が多いICだよ。
使うか使わないか分からない結線を一杯用意しておかないと
ユーザが組みたいロジックが接続できなくなる。
それでもFPGAがASICを置き換える事が出来るのは、
イニシャルコストが小さい事とTATが短い事がASICには無理だからでしょ。
774ワット発電中さん [sage] 2017/11/05(日) 15:36:58.76:M4fXLsxl
論理修正もバカ高いマスク費かからんしな。
心臓に良い。
774ワット発電中さん [sage] 2017/11/05(日) 15:43:01.82:bdqGygJy
ASICって典型的なのでいくらくらいかかるの?
774ワット発電中さん [sage] 2017/11/05(日) 16:03:41.68:M4fXLsxl
大昔は〜10枚セットで1千万くらい。ちょっと前、聞いたのは24、5枚で4億強
自分が最後にASICやってたのは1億くらい。
774ワット発電中さん [] 2017/11/05(日) 19:22:22.17:5IsaQ2Pw
素人は相手にしない。
774ワット発電中さん [sage] 2017/11/05(日) 21:05:06.17:ue4/kvsu
そういやミニマルファブは順調なのかな
ASICの直描は不発だったがレチクル屋に縛られるのもなぁ
774ワット発電中さん [sage] 2017/11/05(日) 23:48:29.20:EO88oy+n
素人というかは微妙だけど物理屋さんが自分らで計測器つくるのにASIC作ってるのは聞いたことがある
774ワット発電中さん [sage] 2017/11/06(月) 00:24:51.32:fuqpnKa6
うちの会社の素人さん達が数百万円でASICを作れるなどとアホな事言って、俺に開発を丸投げしようとしやがる。誰か俺の代わりにぶん殴ってやってくれ。
774ワット発電中さん [sage] 2017/11/06(月) 00:29:51.27:FbudS1O4
設計ソフトやらIPやらで製造以外のほうが金掛かりそう
外に見積もり出してみてプロですら手こずるってことを示す?
774ワット発電中さん [sage] 2017/11/06(月) 01:17:03.56:fuqpnKa6
オレは元々ASIC屋で彼らもそれは知ってるはずなんだが、そんな金額では作れないと何度言っても、お前がヤル気が無いだけだろうと言われてしまう。
彼らは仕様もまともに検討せずに、ASICを作れば良いものが出来ると言って作ることが目的になっている。それで自分は有用な提案をした気になってるから頭にくる。

上にいるのは口先だけのご機嫌取りばかりなので、今の会社は半分諦めてる。良い会社あったら教えてくれw
774ワット発電中さん [sage] 2017/11/06(月) 01:18:23.76:XE6cqR2S
最近の事情は知らないけど、
プロセスにも依存するがシャトル使えば数百万で作れるんじゃないかな。
ただ、TATは長いし、ちょっと間違えたって言うとまた同じ費用が必要。
徹底的にシミュレーションでバグつぶさないと
OTPのマイコンどころじゃない屍が溜まるぞ。
774ワット発電中さん [] 2017/11/06(月) 06:44:31.98:+rugI3vk
そいつらの言ってるASICってFPGAのマスク版ではなくて?
774ワット発電中さん [sage] 2017/11/06(月) 09:08:01.17:jr85NtxI
見積りとって見せてあげればいいじゃん
774ワット発電中さん [sage] 2017/11/06(月) 09:53:51.70:quyQF+cf
"プロセスにもよる" ってんだから、30年くらい前のものとか
或いは、インターポーザーとか
数百万で出来るったら、せいぜいその程度の物

マトモナASICのマスク費用なら、 おおよそ 言ってる通り
だが、そのマスク費用より、量産後のバグの方が遥かに深刻
不安で夜も眠れなくなるよ
774ワット発電中さん [] 2017/11/06(月) 10:06:51.33:+rugI3vk
リワーク出した部署潰れちゃった事あったな…
774ワット発電中さん [sage] 2017/11/07(火) 19:41:31.50:EgpzK9FH
ttp://monoist.atmarkit.co.jp/mn/articles/1711/06/news029.html
NECのFPGA
774ワット発電中さん [sage] 2017/11/07(火) 20:00:49.98:2irEpl2f

今頃ソフトのライセンスとか書き込み機でシェアを取ろうと考えてるんだろうなぁ……。
ソフト無償位はしてもらわないと特殊用途向けだけで儲かりはせんだろうね。
774ワット発電中さん [sage] 2017/11/07(火) 20:19:29.90:ppE9aYvM
0.35μのG/Aとかなら安いんじゃね
RAM必要とかだとG/Aじゃアレだが

バグは設計した部分は案外大丈夫なんだけどIPで化かされるとなぁ
774ワット発電中さん [] 2017/11/10(金) 10:02:31.27:FBiHopD7
瞬発力が必要なんでクロック上げる必要があるけど
必要なのは1秒のうちの0.2秒ぐらいなんだけど
NIOSで組込みマイコンの様にCPUが暇なときに
クロック落としたり止めたりできないのかな。
774ワット発電中さん [sage] 2017/11/11(土) 09:37:46.86:s3QA6gXv

クロック落としたり、止めたりすればいいんじゃね
もまえ、アボガド
774ワット発電中さん [sage] 2017/11/11(土) 12:17:56.43:JwmueZxp

「NIOS クロックの動的制御」でググると何か見つかるかも
774ワット発電中さん [sage] 2017/11/11(土) 12:46:58.20:RDRvB2So
ハードで制御するもんなの?
sleep すればいいんじゃない。
774ワット発電中さん [sage] 2017/11/12(日) 09:52:40.10:/R/Iuvfu
test
774ワット発電中さん [sage] 2017/11/12(日) 12:42:20.68:nQkMzkvF
FPGAマスク版ってFPGAの性能100パーセント保証って認識でok?
774ワット発電中さん [] 2017/11/12(日) 13:53:23.90:lm8o6nBc
tpd からなにから同じだと謳ってますが、使った事ないので実際どうかわかりません。
774ワット発電中さん [sage] 2017/11/12(日) 17:39:41.26:D4TgawF+
ストラテックスのDSP物理コア付きの開発評価ボードって今安いのでいくらで買える?
あと、IPだけを提供してる会社はどこが良いかね?個人レベルで買えるところが良い。論理合成は自分でやる前提で。
久しく遠ざかってました。
774ワット発電中さん [sage] 2017/11/13(月) 12:41:03.73:HDE1yqo0

秋月電子で1万円ぐらい
774ワット発電中さん [sage] 2017/11/13(月) 16:11:18.07:DiiyD929

MAX? できればストラテックスがいいのだけど。
774ワット発電中さん [sage] 2017/11/13(月) 20:36:30.23:qeTNO3dv
釣りだと思うけど、Terasic あたりで好きなの買えば?
774ワット発電中さん [sage] 2017/11/13(月) 22:20:02.83:wDqQYy6k

何で釣りなん?こんな釣りして何のメリットが?w
秋月のキットってザイリンクスの奴か?これDSPとは書いていながらFLOPSか何かもわからん。
GPGPUだと高性能だと、10TFLOPSとかじゃん、このレベルを出せるのはストラテックスしかないんじゃ?
まあ、ストラテックスは極端かもだが、めいいっぱいGPGPU IPコアおてんこ盛り&浮動小数点数演算を物理DSPで計算させたら結構凄いのができないかな?って妄想はしてるんだ。
ストラテックス評価ボード80万円位?
28nmやら14nmプロセスってなんだよ。。。
俺は360nmしか知らねーよ。
774ワット発電中さん [sage] 2017/11/13(月) 22:24:56.33:MiEVZZru


>秋月電子で1万円ぐらい

de0-nano-soc
774ワット発電中さん [sage] 2017/11/13(月) 22:27:25.14:MiEVZZru
物理DSP ってのは、ただの浮動小数点演算か?
お前にはこれで十分だろ
774ワット発電中さん [sage] 2017/11/13(月) 23:38:51.92:WW8pMuK6
"ストラテックス" ってなんだ?
もしかして、 Stratix の事か 違うよな
774ワット発電中さん [sage] 2017/11/14(火) 07:04:27.68:jNjB740U

まあね、多分じゅうぶんだとは思う。
ベリログもう忘れちまったなぁ。。。
知らないのもあるし。


当時は皆そう呼んでた。
774ワット発電中さん [sage] 2017/11/14(火) 09:40:18.82:x2NGNcNZ
浮動小数点演算なら、Stratix より Arria10 だな
安めの中古車くらいの金額で評価ボード売ってるよ
774ワット発電中さん [sage] 2017/11/14(火) 11:53:41.09:4duHJfU0
パーテーションとかいうPartition
774ワット発電中さん [sage] 2017/11/14(火) 12:15:04.87:FC7jROSd

それが現実的かなぁ。
ゲート数もミドルエンドで有りながらそこそこあるし。いまのところ検証ツールも何も持ってないお。
ところで、言語設計者が人手不足過ぎないか?ホビーを中心としたコピペ似非ロジック設計者で開発営業マンの漏れにも、仕事の依頼がきて困ってるのだが。
誰かやってやれ
774ワット発電中さん [sage] 2017/11/14(火) 12:21:15.73:+jBX3w0h
プログラマを連れてきてCで書けば回路にしてるれるんだろ。
な、Xilinx さんよ。
774ワット発電中さん [sage] 2017/11/14(火) 13:18:49.06:Vk28Vhsj
回路にはするだろうよ。
774ワット発電中さん [sage] 2017/11/14(火) 19:41:18.33:z4mFmtg3

派遣会社通さないで月100万円払うならやってやってもいいぞ
派遣会社通すなら月200万円な
嫌なら自分でヤレヤレ
774ワット発電中さん [sage] 2017/11/14(火) 20:38:24.25:B6SFECeR

フリランさんでも100なら出すんじゃないかね?某画像処理関係。
もちろん一人で全部やるわけじゃないけどね。ASIC前提だから中身は重たいよ多分。
774ワット発電中さん [sage] 2017/11/14(火) 20:53:04.34:vNX1sY9b
最近自動車線画の話が流行っているのか
774ワット発電中さん [sage] 2017/11/14(火) 21:01:33.82:vNX1sY9b
基礎設計のMATLABモデルとかできてんの?
774ワット発電中さん [] 2017/11/15(水) 02:10:04.11:8oAbkTcS
cyclone10はスレ的にどうなのよ
いろいろFPGAがあるけど個人でdeeplearningの量子化ってのをやりたいんだけど
選定方法とか少し教えてくれない。なるだけ安い奴。
774ワット発電中さん [sage] 2017/11/15(水) 03:28:54.53:wW8rnJdZ

FPGAの選定が出来ない時点でお前には出来ないで、下請けに丸投げするのがとどのつまりだから安心しな
774ワット発電中さん [sage] 2017/11/15(水) 09:18:34.92:VQHdH43l

ゲート数、RAMブロック数、ペリフェラル、値段
774ワット発電中さん [sage] 2017/11/15(水) 10:56:58.10:O1gAfvTZ
特別なハードウェアが必要でない限りはどのFPGAも本質的に同じだから出来ることと性能に大きな違いはない
774ワット発電中さん [sage] 2017/11/15(水) 11:32:47.84:Pi9stJCu
900
774ワット発電中さん [sage] 2017/11/15(水) 12:42:31.19:TMmiPPN2
Intel modelsim に xilinxライブラリ読ませたら幸せになったw
774ワット発電中さん [] 2017/11/16(木) 16:00:25.70:qiGaRNx0

お得意の人工衛星・航空宇宙用以外にも、軍用とか原子炉制御とか、放射線の問題がある使途はたくさんあるから、数は捌けないけど異常に高い値段で売れるかもね。
774ワット発電中さん [sage] 2017/11/16(木) 18:24:31.84:ocVS9DOR
放射線といえばXのウルトラスケールに使われてるFinFET技術は構造上放射線にすこぶる強いと聞いたことがある
774ワット発電中さん [sage] 2017/11/16(木) 19:29:56.30:0LZ9HLKD
Actelジャナイと!ダメだろ放射線は
クソ遅いけど
774ワット発電中さん [sage] 2017/11/16(木) 21:30:25.78:ocVS9DOR
いにしえのアンチヒューズ型FPGAかいくらかは強いと評判のフラッシュ型か
それはともかく今はマイクロセミじゃなかったっけ
774ワット発電中さん [sage] 2017/11/16(木) 21:38:28.52:I2bzyoo6
作ったらその後弄らない前提ならクイックロジックが速かったなぉ。
今はどうなんだろ?
774ワット発電中さん [sage] 2017/11/16(木) 22:27:19.41:HXhqqjS8

ミスったチップがどんどん溜まるクイックロジック。
プログラミング中にミスに気づくことしばしば。
774ワット発電中さん [sage] 2017/11/16(木) 22:57:00.32:crgyWATA
ちゃんとシュミレーターでデバッグせえや。勿体無い。
774ワット発電中さん [] 2017/11/17(金) 06:40:59.45:1m1TLyIm
シミュレータで再現するくらいなら苦労しないよな…
774ワット発電中さん [sage] 2017/11/17(金) 09:43:01.93:NNfsfPQ8
シミュレーションを十分やったはずなのにたまに発生
さすがにいつでもってわけじゃないが長くやってれば溜まってくる

溜めといても何かに使えるわけじゃないからさっさと捨ててしまえばいいんだが邪魔になる程の量でもないから記念品みたいに残ってる
774ワット発電中さん [sage] 2017/11/17(金) 09:54:13.27:kSugPL+h

キーホルダーにしてしまえ
774ワット発電中さん [sage] 2017/11/18(土) 17:29:38.73:gMdzjYE1
いいアイデアだけどキーホルダーがたまっていきそう・・・
ああ、邪魔になったら捨てればいいのか
774ワット発電中さん [sage] 2017/11/18(土) 20:03:42.46:+xmp8qwo
昔の紙テープみたいに駄菓子屋に卸す?
でも、今時の子供だと書き損ないチップなんぞ遊び道具にならんか。
774ワット発電中さん [sage] 2017/11/18(土) 21:25:43.75:cvr1fYSP
趣味リフローの練習用チップとしてどうかな?
専用ボードとセットで
もっとも、ちゃんと付いたかどうか、どうやって確認するか?って
問題があるけどw
774ワット発電中さん [sage] 2017/11/18(土) 21:33:57.21:asl0oNty

端子と基板をテスターで繋ぐ。
QFPは手付けするのが職人ってもんさ。
付けるより外す方がテクニック必要だけどね。
774ワット発電中さん [sage] 2017/11/18(土) 22:54:21.57:WBWvDVYU
中身吸い出されるんじゃね?
774ワット発電中さん [sage] 2017/11/19(日) 11:17:23.11:qgNEn4ic

紙テープなら読んで「怪獣が荻原公園に出ました」って遊べるけどチップじゃあそべないよな
774ワット発電中さん [] 2017/11/19(日) 20:03:24.58:XIu1A7ux
うちのガキが小学生の頃、ジャンク箱から見つけた❗DIPのICをセロテープで10個ぐらい数珠繋ぎにして電車ごっこしてた
コードで軌道、ケミコンやリレーをよく分からない施設、建物にしてジオラマのつもりだったらしい。
写真撮っとけば良かったと今思うが、当時は発見した妻の箒であっという間に消滅してしまった。
子供は空想力で何でも遊びに出来るんだよな、裏山すぃい。
774ワット発電中さん [sage] 2017/11/22(水) 00:42:58.09:VQCA1wHy
国産量子コンピュータ、FPGAが入っててるもんで、なにやら研究者たちが騒いでるな
774ワット発電中さん [sage] 2017/11/22(水) 01:07:06.03:w80xxjAP
あれは量子コンピュータとは呼べないとか、
FPGAだけで作った方がもっと安くて安定したのができるとか。
774ワット発電中さん [sage] 2017/11/23(木) 07:40:52.79:zUX15MQt

最近文科省が押すものにアヤシイものが多い。
GYOUKOUとかエセ量子とか。
こんな形でガラパゴス山のエセ大将やってていいのか?
774ワット発電中さん [sage] 2017/11/24(金) 15:19:00.12:I8Tqrdyn

ストラティックスじゃないの?
774ワット発電中さん [] 2017/11/25(土) 11:57:06.97:/+VdBxF/
巡回セールスマン問題用のfpga(asicのほうが近いか?)を考えてみたんだけど、
もしアイデア自体に問題がなく、
巡回セールスマン問題をかなり高速で解けたとして、
需要ある?
774ワット発電中さん [sage] 2017/11/25(土) 12:16:35.87:idxBGcgO
よく分からんがとりあえずアップしてみよう
774ワット発電中さん [sage] 2017/11/25(土) 12:24:11.17:YrcuX6rn
日本郵政や佐川に売れるかもな。
774ワット発電中さん [sage] 2017/11/25(土) 12:29:53.03:5Zqfc8VC
ランクが下がれば需要がある。ランクが下がらないなら世界のどこかに既にある。
774ワット発電中さん [] 2017/11/25(土) 13:37:20.37:Z+1XQz7p
概要:添付の図(ttps://imgur.com/a/tiPiJ)のような樹形図回路を用意、
分岐をある地点からの選択肢とする。
また、地点と地点の間は距離に見合った(比例した値の)抵抗を置く。
そして中心から電気を流し、末端に流れる電流量の最大を測定するなり、
アナログ的に比較するなりして最大値を求める。
これを地点数の分だけ繰り返し、それぞれの最大値を取った経路のみをパソコンで演算すれば
格段に演算量が少なくなる。

原理:アナログ回路を上記のように組めば、電気が伝わる速さで結果が出せる。
(抵抗値の合計が最も少ない経路に、最も多くの電流が流れるため、演算の必要なし。)
ただし、とても多くの可変抵抗を組み込んだ専用回路を必要とする。
可変抵抗数は簡単な計算の結果、総当たりに必要なパターン数の約三倍くらい必要な模様。
774ワット発電中さん [] 2017/11/25(土) 13:37:46.92:Z+1XQz7p
利点と問題点:
概要に記載したようにすると、パソコンによる地点数ぶんの演算は必要だが、
初めから全パターンを探索も可能。
(ただし、完全なる逆走パターンも同じ値をとるため、その検出が面倒かと思った。
また、可変抵抗数はさらに「×地点数」という量が必要になる。)

メモリ領域へのアクセス数が明らかに減る。
現在のパソコンだと、
1.メモリからの読み込み
2.cpuの演算
3.メモリへ演算結果の書き込み
という工程が必要だが、
このfpga的なものだと
1.メモリへのアクセス
だけで終わる。
また抵抗値の値のパターンは「地点数C2(2は小文字)=地点数×(地点数-1)/2」パターンしかないので、
かなり前の世代のcpuのキャッシュ領域ですら保存できる可能性が高い。
(もちろん地点数をあほみたいに増やせばあふれるけど)
つまり高速アクセスが可能。
ここから先はキャッシュ領域やバス幅を増やせば加速度的に早くなるけど、割愛。
774ワット発電中さん [] 2017/11/25(土) 13:38:11.85:Z+1XQz7p
要約:
総当たり演算の代わりに多数の可変抵抗を準備というトレードオフによって結果を求める。
しかし、可変抵抗の準備にかかる時間は現行技術で十分高速化が可能ある。
演算時間において、デメリットよりメリットのほうが大きいため、
かなりな高速化(地点数が十分多いときにおいて)が見込まれる。
問題点として、汎用性が低いこと、製造コストが少し高めになってしまうこと、
などが考えられる。

補足:
図の場合だと、5点を巡回するので、
初めにある点をスタートと決め、
次の選択肢は四つあることになる。
774ワット発電中さん [] 2017/11/25(土) 13:41:46.40:Z+1XQz7p
と、考えてみたのだが、どうだろうか?
774ワット発電中さん [sage] 2017/11/25(土) 14:11:10.29:bD6eJN68
ハード量が問題だよね。
10箇所のとき、20箇所のときで、抵抗何個いるの?
774ワット発電中さん [] 2017/11/25(土) 15:00:24.75:Z+1XQz7p
総パターン数のおよそ三倍ということに間違いがない場合、
10地点で1000万個ぐらい。
20だと7500000000000000000個ぐらいかな。
ちなみにCPUのトランジスタ数が
5500万個という記述があったので、
ttps://oshiete.goo.ne.jp/qa/7602697.html
11地点までくらいなら作れなくはないかも程度。
ちなみに、ttp://www.geocities.jp/m_hiroi/light/pyalgo62.html
に記載されている感じだと11地点で6分ぐらいかかりそうなので、
技術的な落としどころ(製造可能な範囲)と、社会的なニーズ(6分判断待ちはできない)
が合いそう。

また、明らかにあり得ない組み合わせを排除するアルゴリズムが
何個か開発されていたはずなので、
それを用いると抵抗の数を減らせるはず。
あるいはパターン分けを行うという手もある。
例えば明らかに離れた二つの集団(10+10)がある場合、
初めに片方の集団だけを検討し、次にもう一方の集団だけを検討、
最後に総合評価で出せば、20地点でも対応できる。
(さすがにこれは都合がよすぎる場合だけど)
774ワット発電中さん [sage] 2017/11/25(土) 15:13:00.86:bD6eJN68
分枝限定法は探索木を深さ優先で直列に調べていって
調べる必要がないと確定した枝は破棄する方法なので、
完全並列を目指すこの方法にはほとんど適用できないよ。
あと、最後の比較もちゃんと考えないと死ぬよ。
774ワット発電中さん [] 2017/11/25(土) 15:44:25.14:Z+1XQz7p
>分枝限定法は探索木を深さ優先で直列に調べていって
>調べる必要がないと確定した枝は破棄する方法なので、
>完全並列を目指すこの方法にはほとんど適用できないよ。

そうなんですか・・・
不勉強でした。申し訳ないです。
例えば、11地点用の回路が製造できたとして、13地点で検証したい場合、
13×12回この回路を回せば、そのあとに13×12通りの演算をパソコンですればいいだけになる
と思うのですが、その場合の計算数を減らせるかな、とも考えていました。
ただ、この場合演算数が少ないので、わざわざ別プログラムでやる必要がないですね。

>あと、最後の比較もちゃんと考えないと死ぬよ。

そうなんですよね・・・
24bitで計測可能、18bitまでは確実に正確!
みたいな計測IC的なものを用いたほうが楽ですかね?
上位ビットから確認していって、一番「1」が続くものをピックアップみたいな感じで。
774ワット発電中さん [] 2017/11/26(日) 06:51:10.27:MOSXrTCy
TSPは各点で異なった高さにテープを貼った鏡を回転させて待っていれば答えが出る。
774ワット発電中さん [] 2017/12/04(月) 17:00:53.69:WgveVQVk
鏡・・・?
情報ソースはどこですか?
自力では見つけられなかったので、お願いします。
774ワット発電中さん [] 2017/12/05(火) 13:11:49.02:BgNfd+Km
ワイの思考実験や
774ワット発電中さん [] 2017/12/12(火) 08:08:37.52:rwQiOK+J
VHDLとVerilogだとどっちが主流?
774ワット発電中さん [sage] 2017/12/12(火) 09:23:40.05:otcnMc6s
日本ならverilogらしい
774ワット発電中さん [sage] 2017/12/12(火) 09:41:00.21:UipGjMsG

ベリログだね。
C言語に似てるからさ。
でも、今C言語でも設計できるから今後どうなんだろな。
774ワット発電中さん [sage] 2017/12/12(火) 13:05:57.92:4GImfsPO

企業は Verilog、研究機関などは VHDL みたいなことを聞いた。
特に VHDL を指定されたのでなければ Verilog でいいと思う。
774ワット発電中さん [sage] 2017/12/12(火) 17:22:12.74:WOxH58DL
自分の経験だと逆だな
うちの会社は基本的にVHDL
研究機関からの開発委託もよくあるが、それはVerilog

VHDLなのは型の扱いが厳密だから
774ワット発電中さん [sage] 2017/12/12(火) 19:04:25.76:3hgQsALP
初めてさわったのがVHDLだったから何となく。でも研究機関からきた依頼はベリログだったな。
大学だから最初は学生に弄らせる為にやはりCに似てるからそれでやるってなった。その時初めてだったから結構大変だったな。
774ワット発電中さん [sage] 2017/12/13(水) 23:06:34.24:oUEOYQ8B
「アルテラ」って、ちょくちょくTwitterトレンドに出てくるね
みんな好きなのね
774ワット発電中さん [sage] 2017/12/14(木) 08:54:28.44:+b7DecG+
QUICKlogicフラッグシップモデルの小数点演算ってどれくらい?
774ワット発電中さん [sage] 2017/12/18(月) 19:15:32.72:zfQGgHsp
符号付き演算するからVHDL
774ワット発電中さん [] 2017/12/20(水) 11:43:48.04:ed06o3iC
critical warningがぎょうさん出やがる
あータイミング制約がようわからん
キャンペーンおまけTC流さないでトレセミ行けばよかった
ドキュメントとかwebとか見てもいまいち要点が判らん
774ワット発電中さん [sage] 2017/12/20(水) 12:09:49.49:G7khVZlL
タイミング制約面倒なのは確か
動けばOK、他(消費電力 or 速度 or セル効率 etc... )は気にしない
のなら、"同期設計 & クロックは一つだけ" にすれば悩まんで済む

それが嫌なら、タイミング制約を習得するしかない
近道は無いよ
774ワット発電中さん [sage] 2017/12/20(水) 12:16:23.76:GBWHr49T

俺もタイミング全部見てたら大変だと思う。だから勝手に調整するロジック作って♪
774ワット発電中さん [sage] 2017/12/20(水) 16:02:11.49:Dedb8kXK

僕もタイミングとか制約のかけ方が分からない。本を読んでもHDLの文法がほとんど。結局clock1つに全て同期させる、それ以外は動けばOkにしてる。
警告はだいぶ消せるけど、残ってるやつは放置してるわ。
なんかいい本とかあるんですかね。
774ワット発電中さん [sage] 2017/12/20(水) 16:14:45.42:F3zlpecD
よほど簡単な回路じゃないと警告は全部消せないだろ。
774ワット発電中さん [sage] 2017/12/20(水) 18:48:55.38:G7khVZlL
クロック一個だけなら、ツール側での状況把握が容易だから 制約付けなくても大方動くけど

複数のクロック使ったりすると、制約(ツールに伝えてやる)ツール側で判別できなから 要注意
なんの制約も無しの条件で、 回路が込み入ってセル使用率が上がって来ると、コメント弄っただけで動かなくなったりする
なんの制約も無しなんだったら、まぁ当然事だけど
何も知らない当の本人からして見れば、こう言う状態に至ったら対処の仕様が無いよな

ネットのコード見ても制約掛けてないヤツが殆どだし、趣味でやってるなら之でも良しだけど
変な目に逢いたく無ければ、単なるクロック指定だけでも 制約付ける癖つけておいた方がいいぞ
怖いのは "Hold Vioration"。 100ps 有ったら危ないと思った方がいい

制約掛けてないとその手の情報すら得られない、だから制約掛けるんだよ〜ん。
774ワット発電中さん [sage] 2017/12/20(水) 18:57:22.63:P1nCw03P
なんか、ロジック設計専用のOSみたいのとか無いですかね?素人なもんで。。まだツールとか使いこなせてないです。
なお、私は組込屋の営業マンで文系ですから理系のバックグランドは無いです。普通の回路設計やって基板化するまでは自分で全部やった事あります。
遂にFPGAに手を出してしましました。
774ワット発電中さん [sage] 2017/12/20(水) 19:01:33.44:IwwRSrXD
クロック系統がどうなっているか教えて下さい
774ワット発電中さん [sage] 2017/12/20(水) 23:36:57.56:PeoE19Wu
使っているクロックはそれぞれ周波数の制約かけて、異種クロックとのつなぎはRTLでフリフロ、RAM、ハンドシェイクか、設定の書き込み中は回路止めるなどで論理的にクロック間の位相に関する制限なくせばいいだけでは?異種クロック同士は無視するという記述は必要だけど。
774ワット発電中さん [sage] 2017/12/21(木) 07:26:35.56:7b7nDNZ/

いや、多分それすらわかってないからw

プロに頼んだ方がいいんじゃないかな。
774ワット発電中さん [] 2017/12/21(木) 10:31:22.17:nx3cppuK

そのへんはまあ理解できたんだけど
端子のinput delayとかoutput delayとかが良く判らない
どこからどこ、なにからなに、いつからいつ?
仮想クロックとか訳わかめ
774ワット発電中さん [sage] 2017/12/22(金) 00:23:30.42:7V0uXzxZ

横レスなんですけど、「組込屋の営業マンで文系」の方の手まで
借りないといけないほど、今は人手不足なのかお聞きしたいです

ちなみに、FPGAの設計自体は、微分方程式はもちろん、交流回路理論とか
過渡現象論とかもでてこないので、四則演算ができれば大丈夫かな
774ワット発電中さん [sage] 2017/12/22(金) 07:12:15.38:o7k0Mg47

>ちなみに、FPGAの設計自体は、微分方程式はもちろん、交流回路理論とか
>過渡現象論とかもでてこないので、四則演算ができれば大丈夫かな

その場合、たとえばデジタルフィルタの設計は別の人がやって、「FPGAの設計」をする人は
それをHDLに落とすだけの仕事って前提ですかね…
774ワット発電中さん [sage] 2017/12/22(金) 07:26:02.97:+YnEmXSK

私は営業ですから今は回路設計は仕事ではやりませんが、PCBの設計は社内に私しかできる人がいないのでたまにやらされます。人手不足、外注不足ですね。
過去にコピペ回路図を駆使してRFや電源のアナログの設計もやりました。FPGAはアナログ回路の要素はさほど気にしなくて良さそうですね。

FPGAもやってみたかったのですがこれまで個人レベルでは高過ぎで手が出なかったんですよ。当時の設計が使ってたツールは、シノプシスとマックスプラスだったと思います。
手前で論理設計、論理合成、配置配線、タイミング検証作業は見たことがあります。
基本的に論理設計もコピペ設計でやるつもり。
774ワット発電中さん [sage] 2017/12/22(金) 07:50:59.68:o7k0Mg47

>これまで個人レベルでは高過ぎで手が出なかった
相当にこの分野からは距離を置いてこられたのかな?
Windows 3.1の時代に廉価版が出て、そこから数年ぐらいで無償版がでてきてたように記憶してます。
774ワット発電中さん [sage] 2017/12/22(金) 08:00:36.79:+YnEmXSK

正確に言うと、ツールと言うよりエバレーションボードが高過ぎだったんですよ。
それこそ、秋月なんかじゃ買えなかったですから。
774ワット発電中さん [sage] 2017/12/22(金) 08:57:55.49:cmIYDcC8
すでに10年ぐらい前には、雑誌の付録についてくるぐらいだったというのに。
774ワット発電中さん [sage] 2017/12/22(金) 10:00:52.42:eGVJmFx5
20年前くらい。試作基板が出来てくるまではPLCCパッケージとユニバーサル基板で自分で作って確認してたな。
774ワット発電中さん [sage] 2017/12/22(金) 11:32:44.23:2bur9eHH
今は個人を相手にしてくれる実装屋が中国に出来てBGPすら何とかなるしね
774ワット発電中さん [sage] 2017/12/22(金) 11:33:33.64:2bur9eHH
BGPってなんだ、BGAだよ
774ワット発電中さん [] 2017/12/22(金) 11:34:29.52:dYYO9m+B
CADツール使いの仕事はすぐに消える
774ワット発電中さん [sage] 2017/12/22(金) 11:45:51.93:P16dF+Uf

CPLDとかFPGAが出始めの頃は普通にPLCCやQFPだったよね。
208ピンのQFPで試作基板作った覚えがある。
774ワット発電中さん [] 2017/12/22(金) 18:49:46.79:lSxK8X/f
DOSの時代から廉価版あったけどな。
チョッキリ10万位でPC98のDOS用エグザクト買って使ってたな。
自分で配線を編集出来て面白かった。XC2064とXC2018時代。
774ワット発電中さん [sage] 2017/12/22(金) 18:59:02.34:o7k0Mg47

>チョッキリ10万位でPC98のDOS用エグザクト買って使ってたな。
ほう。それは知らなかった…
774ワット発電中さん [sage] 2017/12/22(金) 19:06:02.06:pabvKppE
アルテラがグリッド沢山のBGA出してきて基板が作れなくて困ったね。
当時基板は貫通スルーホールがメインで、スルーホール径も0.3mmまで。ピンから引き出せなかったんだよねこれが。
しかも物凄く多層構成でイニシャルも高いし、厚みをまして行く今度はスルーホールのメッキが作れないと、基板屋に泣きつかれてさ。
ああ古き良き時代。
774ワット発電中さん [] 2017/12/22(金) 19:21:36.03:1tyhNex7
良かったか、それ。
774ワット発電中さん [sage] 2017/12/22(金) 19:26:23.86:o7k0Mg47
苦労して困難を乗り越えたことが今となっては良い思い出、かな?
774ワット発電中さん [sage] 2017/12/22(金) 23:03:02.03:KjH/7EIz

手貼りとハトメの時代ですね。
わかります
774ワット発電中さん [sage] 2017/12/24(日) 23:38:57.95:axmbCkO7

素人なんで良く分からんのだけど、今は普通のスルーホール使わないの?
774ワット発電中さん [sage] 2017/12/24(日) 23:47:32.14:p429fsLQ

普通のスルーホール使うけど、0.1mmのビアが使えるようになった為、自由度が増して引き出せるようになった。
これまではBVHとかビルドアップ基板、パットオンスルーなどの工法を使わないと作れなかったんだよ。
774ワット発電中さん [sage] 2017/12/24(日) 23:51:33.73:p429fsLQ
あとね、基板アスペクト比というのがあって、基板板厚み÷スルーホールの径が6を超える基板はスルーホールメッキが作れないから、
多層板で厚みの調整が大変だったわけ。
774ワット発電中さん [] 2017/12/25(月) 11:04:04.56:FD+37tzQ
グリッド沢山ってなんだよ
ボールピッチだろ
様々な部分で日本語が不自由なやつだな

確かに小型化要求が無ければファインピッチは避けたい
つーか最近はいろいろCR類も小型化でいよいよ1608が絶滅危惧種
村田太陽軒並み縮小方向らしい
774ワット発電中さん [sage] 2017/12/25(月) 11:39:41.32:5IiaqhcB

老眼には半田付けが辛い時代になったなぁ。。。0402なんて両眼顕微鏡登場させたし。
それでも付けてしまう漏れ半田のプロフェッショナル。
774ワット発電中さん [] 2017/12/27(水) 12:46:52.11:22mySsTl
家で不労所得的に稼げる方法など
参考までに、
⇒ 『武藤のムロイエウレ』 というHPで見ることができるらしいです。

グーグル検索⇒『武藤のムロイエウレ』"

DRXWHOGKTE
774ワット発電中さん [] 2018/01/07(日) 19:30:33.82:NrotJq4n
ぐわぁ
久々にVivadoアップデートしたらUIのlook&feelがゲロ変わってる!
なにもM$のクソUI(フラット?だっけ)に合わせることないだろ
こんなとこ変えて余計な神経使わせるんじゃないよ
774ワット発電中さん [sage] 2018/01/07(日) 19:37:25.71:+eRBftRg
今さ、フリーのprocessorやらGPUとかあるじゃん。こういうの使ってる人っている?
昨今ディープラーニングの話題騒然で、フリーコア使ってFPGAのみで実装して果たして満足な結果が得られるか興味ある。
本当ならGPGPU並べて使ったらいいって話だけど、今更感もあるし。
774ワット発電中さん [sage] 2018/01/07(日) 21:33:01.66:AHQcoGkL
今月のインターフェース読めばFPGAじゃ話にならんって良く分かる
774ワット発電中さん [sage] 2018/01/07(日) 21:40:52.97:quLGRprl

そうなんだよね
774ワット発電中さん [sage] 2018/01/08(月) 16:23:41.62:FbDYzG2Y
1chip MSXはフリーのZ80コア使ってる
774ワット発電中さん [sage] 2018/01/08(月) 17:37:51.52:brqZiFfF
Z80なんて、今の時代の子たちは聞いた事はあるかもだけど、知らないだろうな。
774ワット発電中さん [sage] 2018/01/08(月) 17:40:05.65:S8SSah3C
アップル信者も6502も知らないだろうな。
774ワット発電中さん [sage] 2018/01/08(月) 17:56:37.98:brqZiFfF

俺も知らない。
パワーPC?
774ワット発電中さん [] 2018/01/08(月) 18:08:22.91:oP+D4Kdx
8080くらいまでは使ったことあるけど
8008とか4004までいくとないな
MC14500なんか頭の体操にいいかもしれない
774ワット発電中さん [sage] 2018/01/08(月) 18:29:39.99:EblJtZgy

初代ファミコンが6502(かコンパチ)だったり、
三菱のマイコンのMELPS740がコンパチだったり。
774ワット発電中さん [sage] 2018/01/08(月) 21:05:13.73:S8SSah3C

良く知っるじゃん。
774ワット発電中さん [] 2018/01/09(火) 04:55:45.39:aZirFyxv
実際に使ったこと無さそうだけどな。
774ワット発電中さん [sage] 2018/01/09(火) 06:59:09.54:AD8rxswA
まだソフトがハードのオマケだった時代だね。
774ワット発電中さん [] 2018/01/09(火) 10:28:00.20:1XsCbBz2
ああ、文字LCDのコントローラ用にpicoblaze使ったことあるな
コンパクトでマイコン使っている感じがして御白かったよ
microblazeも使ったことあるけどなzynqとかまだなかったとき
いまでもどっかの橋の下で動いているはず

勢い5万以上のスレをメールでお知らせするサービス、実施中!!
憧れボディをGETしたい!その夢、ボニックで!

新着レスの表示

2ch勢いランキング アーカイブ 電気・電子板ランキング

凡例:

レス番

100 (赤) → 2つ以上レスが付いている
100 (紫) → 1つ以上レスが付いている

名前

名無しさん (青) → sage のレス
名無しさん (緑) → age のレス

ID

ID:xxxxxxx (赤) → 発言が3つ以上のID
ID:xxxxxxx (青) → 発言が2つ以上のID

このページは2ch勢いランキングが作成したアーカイブです。削除についてはこちら